Grondbeginselen van digitale schakelingen. door functioneel doel en uitgevoerde functies. Een hoge mate van constructieve en functionele integratie, veelzijdigheid met de mogelijkheid om systemen te bouwen volgens standaard ontwerpoplossingen. Dit maakt het op zijn beurt weer mogelijk om

LEZINGEN

door discipline

"Organisatie en werking van computers"

voor studenten

specialiteit 2-40 01 01 "Informatietechnologiesoftware"

Ashmyany 2010


ANNOTATIE

Over het lesmateriaal over de discipline "Organisatie en werking van computers" voor studenten van de specialiteit 2-40 01 01 "Software van informatietechnologieën", ontwikkeld door de leraar van de onderwijsinstelling "Oshmyany State Agrarian and Economic College" M.G. Sjatkevitsj.

Relevantie van methodologisch materiaal, de betekenis ervan. Deze reeks lezingen is een reeks materialen die nodig zijn voor de hoogwaardige organisatie van het werk van studenten in praktische lessen voor het beheersen van de discipline "Organisatie en bediening van computers" voor studenten van de specialiteit 2-40 01 01 "Software van informatietechnologieën" ,

De lezingen zijn ontwikkeld in strikte overeenstemming met de huidige regels van de onderwijsinstelling "Oshmyany State Agrarian and Economic College" over educatieve en methodologische complexen van disciplines. Het complex omvatte lezingen over alle onderdelen van het vakgebied "Organisatie en werking van computers".

Mogelijkheid tot praktische toepassing. Het collegecomplex kan worden aanbevolen aan docenten bij de voorbereiding en uitvoering van lessen in de discipline "Organisatie en werking van computers" voor studenten van de specialiteit 2-40 01 01 "Software van informatietechnologieën", evenals voor studenten van volledige -tijdsonderwijs in zelfstudie.

Conclusie van de fietscommissie. De reeks lezingen werd overwogen tijdens een vergadering van de cyclische commissie van juridische disciplines en informatietechnologie, aanbevolen voor intracollegiaal gebruik (protocol nr. ___ van "____" ______________________ 20___).


Invoering. 4

Presentatie van informatie op een computer ... 5

Foutdetectiecodes. 9

Foutcorrectiecodes. 10

Sectie 2. Algebra van logica en theoretische grondslagen van de synthese van digitale apparaten. 11



Elementen van wiskundige logica. elf

Vormen van logische functies en hun gebruik voor de synthese van logische circuits

Logische poorten en circuits. Classificatie van logische apparaten. 15

Methoden voor het minimaliseren van logische functies. 17

Sectie 3. Synthese van combinatorische circuits.. 18

Stadia van het bouwen van een logisch circuit .. 18

Multiplexers en demultiplexers .. 20

Decoders en encryptors .. 22

Vergelijkers, optellers .. 24

Sectie 4. Triggerelementen van digitale apparaten. 25

Classificatie van triggers en hun algemene kenmerken. Asynchrone RS-flip-flop en zijn varianten 25

Asynchrone triggers met één ingang ... 27

Synchrone triggers .. 29

Sectie 5. Synthese van digitale automaten. 31

registreert. Schuif register. 31

Meters mod M. Omkeerbare meters. Synthese van sequentiële circuits .. 33

Sectie 6. Huidige staat en ontwikkelingsvooruitzichten van de elementbasis en computertechnologie. 35


Invoering

De cursus Organisatie en werking van computers is gebaseerd op de fundamentele stelling dat: Een computer kan worden gezien als een hiërarchie van structurele niveaus van een organisatie.

Deze verklaring geldt zowel voor de hardware-organisatie als voor de structuur en organisatie van software. Op het hoogste niveau van de hiërarchie bevinden zich probleemgerichte softwaretools, zoals Mathcad (voor het oplossen van wiskundige problemen), Visual Basic voor kantoortoepassingen, een niveau onder de procedureelgerichte talen (C/C++, Pascal). .., het laagste niveau is het niveau van fysieke implementatie van digitale logische poorten.

Het belangrijkste doel van de cursus: bekendheid met het niveau van fysieke implementatie en met enkele van de theoretische grondslagen die worden gebruikt om de lagere niveaus van de organisatie te beschrijven:

ü het principe van digitale weergave van gegevens in technische apparaten;

ü basisprincipes van logische algebra en het gebruik ervan om de werking van digitale apparaten te beschrijven;

ü nummerstelsels;

ü enkele basisconcepten van digitale schakelingen: combinatorische logische apparaten en apparaten met geheugen (triggers, registers, tellers).

Een aanzienlijk deel van de bestudeerde problemen is van toegepaste aard en helpt aanzienlijk bij een redelijke keuze van geschikte technieken in specifieke situaties, waardoor soms de operationele kenmerken van softwaremodules aanzienlijk kunnen worden verhoogd (om de hoeveelheid vereist geheugen of uitvoeringstijd te verminderen) .

Het doel van het onderwijzen van de discipline "Organisatie en werking van computers" is het bestuderen van de fysieke fundamenten van de constructie en het functioneren van moderne computertechnologie, de principes van constructie en werking van elementen, eenheden en apparaten van computers. De discipline omvat informatie over de rekenkundige, logische en schakelingsfundamenten van het bouwen van een computer en vormt de basis voor de daaropvolgende studie van de discipline "Microprocessortechnologie".

De discipline is gebaseerd op de kennis die studenten hebben opgedaan bij de studie van de disciplines "Wiskunde", "Informatica".

Om het lesmateriaal te bestuderen, wordt er praktisch en laboratoriumwerk voorzien. Het disciplineprogramma is ontworpen voor 68 uur, waarvan 34 uur hoorcolleges, 24 uur praktijklessen en 10 uur laboratoriumstudies.

Bibliografie

1. Babich NP, Zhukov IA Computerschakelingen. bouwmethoden en

2. ontwerp: zelfstudie. - .: "MK-Press", 2004

3. Zhmakin A. P. Computerarchitectuur. - SPb.: BHV-Petersburg, 2006

4. Lysikov B.G. Digitale en computertechnologie - Minsk: UP Ecoperspektiva, 2002

5. Novikov Yu. V. Grondbeginselen van digitale schakelingen. Basiselementen en schema's. Ontwerp methoden. M.: Mir, 2001

6. Ugryumov EP Digitale schakelingen - SPb .: BHV-Petersburg, 2004

7. Boyko V. I. Circuits van elektronische schakelingen. Microprocessoren en microcontrollers. - SPb.: BHV-Petersburg, 2004

8. Tsilker B. Ya., Orlov S.А. Organisatie van computers en systemen. - SPb.: Peter, 2004

9. Informatica: leerboek / B.V. Sable - Rostov n.v.t.: Phoenix, 2006


Sectie 1. Wiskundige grondslagen van digitale schakelingen

25 april 2010 om 16:16

Onafhankelijke studie van schakelingen. Basisconcepten. Deel 1

  • Elektronica voor beginners

De studie van digitale schakelingen moet beginnen met de theorie van automaten. In dit artikel vindt u enkele basiszaken die u zullen helpen niet te verdwalen in andere artikelen. Ik heb geprobeerd het artikel gemakkelijk leesbaar te maken en ik ben er zeker van dat een onvoorbereide lezer het gemakkelijk zal kunnen begrijpen.


Signaal- een materiële drager van informatie die wordt gebruikt om berichten over een communicatiesysteem over te dragen. Een signaal kan, in tegenstelling tot een bericht, worden gegenereerd, maar de ontvangst ervan is niet vereist (het bericht moet door de ontvangende kant worden ontvangen, anders is het geen bericht, maar slechts een signaal).

Het artikel bespreekt een digitaal discreet signaal. Dit is een signaal dat verschillende niveaus heeft. Het is duidelijk dat een binair signaal twee niveaus heeft - en ze worden genomen als 0 en 1. Wanneer een hoog niveau wordt aangegeven met één en een laag met nul, wordt deze logica positief genoemd, anders negatief.

Het digitale signaal kan worden weergegeven als een timingdiagram.

In de natuur bestaan ​​discrete signalen niet, daarom worden ze vervangen door analoge signalen. Een analoog signaal kan niet meteen van 0 naar 1 gaan, daarom heeft zo'n signaal een flank en een cutoff.
Om het simplistisch te tekenen, ziet het er als volgt uit:

1 - laag signaalniveau, 2 - hoog signaalniveau, 3 - signaalstijging (voorkant), 4 - signaaldaling (cut)

Signalen kunnen worden omgezet. Hiervoor worden in de praktijk logische elementen gebruikt en om dit formeel te schrijven worden logische functies gebruikt. Dit zijn de belangrijkste:

Negatie - keert het signaal om.
De diagrammen zijn als volgt aangegeven:

Logische OF (logische toevoeging, disjunctie)

In het schema:

Logische AND (logische vermenigvuldiging, conjunctie)

In het schema:

De laatste twee kunnen een negatieve output hebben (AND-NOT, OR-NOT). De waarden van hun logische functies zijn omgekeerd en in het diagram wordt de uitvoer getekend met een cirkel.

De samenvattende tabel van logische functies van twee argumenten ziet er als volgt uit:

Het werken met logische functies is gebaseerd op de wetten van de algebra van de logica, waarvan de basis wordt beschreven in het bijgevoegde bestand. Er zijn ook taken voor zelfcontrole en controlevragen over het onderwerp.

Logische schakelingen ontwerpen met logische algebrafuncties

Logisch diagram wordt een verzameling logische elektronische elementen genoemd die zodanig met elkaar zijn verbonden dat een bepaalde werkingswet van het circuit wordt vervuld, met andere woorden, een bepaalde logische functie wordt vervuld.
Afhankelijk van de afhankelijkheid van het uitgangssignaal van het ingangssignaal, kunnen alle elektronische logische circuits voorwaardelijk worden onderverdeeld in:

Schema's van de eerste soort, d.w.z. combinatorische circuits waarvan het uitgangssignaal alleen afhangt van de toestand van de ingangssignalen op elk moment;

Regelingen van de tweede soort of accumulerende circuits(schema sequentieel) met accumulerende circuits ( elementen met geheugen), waarvan het uitgangssignaal zowel afhangt van de ingangssignalen als van de toestand van het circuit op eerdere momenten.

Door het aantal inputs en outputs zijn de schema's: met één input en één output, met meerdere inputs en één output, met één input en meerdere outputs, met meerdere inputs en outputs.

Volgens de synchronisatiemethode zijn de schema's: met externe synchronisatie (synchrone machines), met interne synchronisatie(Asynchrone machines zijn daar een speciaal geval van).

Vrijwel elke computer bestaat uit een combinatie van circuits van de eerste en de tweede soort van variërende complexiteit. De basis van elke digitale automaat die digitale informatie verwerkt, zijn dus elektronische elementen van twee soorten: denkspelletje of combinatorisch en onthouden... Logische elementen voeren de eenvoudigste logische bewerkingen uit op digitale informatie en opslagelementen worden gebruikt om deze op te slaan. Zoals u weet, bestaat een logische bewerking uit het omzetten van digitale invoerinformatie in uitvoer volgens bepaalde regels.

We kunnen aannemen dat elementaire logische functies logische operatoren zijn van de genoemde elektronische elementen, d.w.z. schema's. Elk dergelijk schema wordt aangegeven door een bepaald grafisch symbool. (Ze werden hierboven gepresenteerd - Elementen AND, OR, NOT, OR-NOT, AND-NOT)

Als voorbeeld is het volgende een elektrisch functioneel diagram van een logische omzetter (combinatieautomaat) die een logische functie implementeert in een elementaire basis van logische elementen AND, OR, NOT.

Voor consolidatie stel ik voor om onafhankelijk een logisch circuit te synthetiseren dat de volgende logische functies implementeert:

Dit kan bijvoorbeeld in de Elektronische werkbank.

Hier is een voorbeeld van de eerste voltooide taak:

Ik sprak over de logische elementen - de 'bouwstenen' die de basis vormen van digitale technologie en hun doel. In dit bericht zal ik in meer detail praten over het gebruik van digitale microschakelingen die logische poorten bevatten.

De eenvoudigste schema's

Het eerste schema is het eenvoudigst sonde voor continuïteit van elektrische circuits. Met behulp van deze sonde kunt u de betrouwbaarheid van het elektrische contact bepalen, een open circuit vinden, de gezondheid van weerstanden en halfgeleiderdiodes en transistors controleren.

Sondecircuit voor continuïteit van het elektrische circuit.

Laten we beschrijven hoe het werkt. Wanneer de XT-sondes open zijn, wordt een hoog logisch spanningsniveau ingesteld op de ingangen van het logica-element DD1 ten opzichte van de gemeenschappelijke draad. Dienovereenkomstig zal de uitgang van het element DD1 een laag logisch niveau hebben, terwijl de VD1-LED niet zal oplichten. Als de sondes worden kortgesloten, heeft de DD1-ingang een laag logisch niveau en de uitgang een hoog logisch niveau. Een gloeiende diode geeft aan dat de uitgangen met elkaar zijn kortgesloten. Dus, wanneer de sondes zijn aangesloten op een werkend circuit, zal de LED oplichten en als de LED uit is, is er een open circuit in het circuit.

Het volgende diagram hieronder is: logische sonde... Het is ontworpen om het logische spanningsniveau in de elektrische circuits van digitale apparaten te bepalen.


Logische sondeschakeling.

In de begintoestand is aan de ingangen van het logisch element DD1 respectievelijk de uitgang DD2 een hoog logisch niveau ingesteld, de VD1 LED brandt. Wanneer de LED's worden ingeschakeld in een circuit met een hoog logisch niveau, blijft de VD1-LED branden en wanneer een laag logisch niveau verschijnt op de DD1-ingang, zal de VD1-LED overeenkomstig uitgaan.

Verdere vertelling over het gebruik van digitale microschakelingen is niet mogelijk zonder kennis intern apparaat digitale TTL- en CMOS-microschakelingen en hun overdrachtskenmerken.

Interne structuur van digitale TTL-microschakelingen

Alle families van digitale microschakelingen zijn gebaseerd op: basis logische poorten... Voor alle microschakelingen van de TTL-familie is zo'n element: element 2NIET, die de volgende interne structuur heeft. Hieronder ziet u een diagram van een 2I-NOT-element en zijn tijdelijke respons.


Schema van het basiselement TTL 2I-NOT en zijn tijdelijke respons.

De elementinvoer is multi-emittertransistor VT1 dan versterkertrap op transistor VT2 en push-pull eindtrap op transistoren VT3, VT4.

Laten we de werking van het logische element 2I-NOT beschrijven. In de begintoestand is de ingangsspanning niet groter dan 0,5 V en is de emitterovergang van transistor VT1 open, deze spanning is niet voldoende om de collectorovergang naar de open toestand over te brengen, hetzelfde geldt voor de emitterovergangen van transistors VT2, VT4. Daarom zijn deze transistoren gesloten en is de transistor VT3 open, door de spanning geleverd door R2. De diode VD3 blijkt open te zijn en de spanning aan de uitgang van het element is ongeveer 3 ... 4 V ( punt A). Wanneer de spanning op de emitters VT1 begint te stijgen, begint de transistor VT2 te openen en sluit de transistor VT3 soepel ( sectie A - B). Een verdere toename van de spanning op de ingangstransistor leidt ertoe dat de transistor VT2 nog meer opent, de spanning op R3 ook toeneemt en de transistor VT4 opent. Als resultaat shunt de emitterovergang van de transistor VT4 de weerstand R3, en de transistor VT2 opent abrupt en de spanning aan de uitgang van het element neemt af. Op dit moment ( sectie B - C) alle transistors zijn open en in actieve modus. Als u doorgaat met het verhogen van de ingangsspanning, gaan de transistors VT2 en VT4 naar de verzadigingsmodus ( sectie C - D), en de transistor VT3 zal sluiten en de waarde van de uitgangsspanning zal gelijk worden aan de verzadigingsspanning van de transistor VT4, en de stroom zal worden beperkt door de weerstand R4.

Sectie B - C voorbijgaande reactie kan worden gebruikt voor analoge signaalverwerking, in deze modus heeft de transiënte respons een hoge lineariteit en een maximaal stroomverbruik.

Interne structuur van digitale CMOS-microschakelingen

Evenals in de TTL-familie, CMOS-microschakelingen het basiselement is 2I-NOT, waarvan de interne structuur hieronder wordt weergegeven:


Schema van het basiselement CMOS 2I-NOT en zijn tijdelijke respons.

Dit logische element werkt complementaire veldeffecttransistoren... Transistors met p-type kanaal (VT1, VT2) aangesloten op de positieve geleider van de voeding, met n-type kanaal (VT3, VT4) in serie geschakeld.

Bij een ingangsspanning van 2 V of minder zijn de transistoren VT1 en VT2 open, aangezien de spanning in de gate-source-secties (bij een voedingsspanning van 9 V) minimaal 7 V is. De spanning in dezelfde secties van transistors VT3 en VT4 blijkt onvoldoende te zijn om ze te openen, daarom zal er aan de uitgang van het element een spanning zijn die bijna gelijk is aan de voedingsspanning, dat wil zeggen ongeveer 9 V ( punt A). Naarmate de ingangsspanning toeneemt, beginnen de transistors te openen en sluiten VT1 en VT2. Op de sectie A - B dit proces is relatief soepel, maar op sectie B - C het versnelt en is het meest lineair. op punt B transistors VT1 en VT2 zijn bijna volledig gesloten en VT3 en VT4 zijn open. De uitgangsspanning is in dit geval klein en bij een verdere toename van de ingangsspanning tot het niveau van de voeding, neigt deze naar nul ( punt G).

Logische poort in lineaire modus

Het gebruik van logische elementen van digitale microschakelingen voor het werken met analoge signalen is alleen mogelijk als hun modus is ingesteld op lineair of er dichtbij. Dus in lineaire modus TTL-element komt overeen met een versterker met een versterking van 10 ... 15 (ongeveer 20 dB), en CMOS-element- een versterker met een versterking van 10 ... 20 (20 ... 26 dB).


Logische poortuitgang naar lineaire modus: van links naar rechts door stroom, spanning, feedback.

Er worden verschillende methoden gebruikt om een ​​logisch element uit te voeren naar een lineaire sectie. Een daarvan is gebaseerd op inclusie aan de ingang van het TTL-element van de weerstand R... Deze weerstand zorgt ervoor dat er stroom door de emitterovergang van de ingangstransistor van het TTL-element gaat. Door de weerstand van de externe weerstand te wijzigen, kunt u de spanning aan de uitgang van het element wijzigen, dat wil zeggen de positie van het werkpunt op de overdrachtskarakteristiek wijzigen. Voor TTL-elementen de weerstand van zo'n externe weerstand varieert van 1 kΩ tot 3 kΩ. Echter, op deze manier niet van toepassing op CMOS-microschakelingen, omdat ze zonder uitgangsstromen werken (er zijn lekstromen, maar ze zijn klein en onstabiel).

De tweede manier om het logische element in de bedrijfsmodus te brengen, kan zijn: naar de ingang van de overeenkomstige spanning, bijvoorbeeld met behulp van resistieve verdeler... Dus voor TTL-elementen het midden van het lineaire gedeelte van de overdrachtskarakteristiek komt overeen met ingangsspanning 1,5 ... 1,8 V, en voor CMOS 3 ... 6 V(bij een voedingsspanning van 9 V). Voor verschillende logische elementen is deze spanning niet hetzelfde, dus wordt deze empirisch geselecteerd. De waarden van de ingangsweerstanden zijn zo gekozen dat de ingangsstromen van de elementen geen invloed hebben op de spanning die van de resistieve verdeler wordt genomen.

De derde methode is het meest effectief, hiervoor: negatieve feedback creëren (negatieve feedback) door gelijkstroom tussen de ingang en uitgang van het element, waardoor het werkpunt automatisch op het vereiste gedeelte van de overdrachtskarakteristiek wordt gehouden en geen zorgvuldige selectie van externe weerstanden vereist. Deze methode is geïmplementeerd voor: logische poorten met inversie ingangssignaal: NOT, AND-NOT, OR-NOT.

Weerstand weerstand in het OOS-circuit wordt geselecteerd op basis van het leveren van de vereiste ingangsstroom aan het element. Voor CMOS-elementen het komt neer op van enkele kilo-ohm tot tientallen mega-ohm, en voor TTL - van tientallen Ohms tot 1 kOhm... Maar het gebruik van OOS vermindert de elementversterking.

Logische versterkers

Om logische elementen als signaalversterkers te gebruiken, is het noodzakelijk om het werkpunt naar het lineaire gedeelte van de overdrachtskarakteristiek te brengen. De belangrijkste kenmerken van dergelijke versterkers worden weergegeven in de onderstaande tabel.

Serie Schema
terugtrekken in
lineair
modus
K VS,
dB
Fmax,
MHz
P rub
mW
jij eruit,
V
R in,
kOhm
R uit,
kOhm
R1,
kOhm
R2,
kOhm
K155 OOC 18 40 20 1,2 0,6 0,05 0,68 0,68
Stroom 21 0,8 1,9
K176 OOS 25 5,5 5 … 20 1,5 0,4 0,05 7,5 5,1
Stroom 17 3 … 4 5,0 3,5 6 6,2 4
561 OOC 25 1000 7 1000 1000

Het diagram van de eenvoudigste versterker op basis van het TTL-element wordt hieronder weergegeven. Aanpassing van de versterker wordt gereduceerd tot het instellen van het werkpunt van het element met een trimweerstand R1 in het midden van het lineaire gedeelte van de overdrachtskarakteristiek.


De eenvoudigste versterker op een TTL-element

Het nadeel van eenvoudige versterkers is: lage ingangsimpedantie, wat de reikwijdte van hun toepassing beperkt. Bovendien is de winst klein. Dit nadeel wordt geëlimineerd door het in combinatie met transistors te gebruiken. De versterking wordt vergroot door meerdere trappen in serie te schakelen. Bovendien bevat de digitale microschakeling verschillende identieke elementen, waarmee u meerkanaalsversterkers kunt maken. Een voorbeeld is het onderstaande schema. Belangrijkste kenmerken van de versterker: versterking - 50; uitgangsimpedantie 50 Ohm, ingangsimpedantie 5 kOhm, bovenste afsnijfrequentie 40 MHz.


Versterkerschakeling met een transistor aan de ingang

CMOS-elementen kunnen ook worden gebruikt voor versterkers, waarvan er hieronder één wordt weergegeven. Een veelvoorkomend nadeel van CMOS-versterkers is: hoge uitgangsimpedantie... Het kan worden geëlimineerd door een logisch element aan de uitgang te installeren zender volger op de transistor en de opname ervan in het OOS-circuit.


Versterkerschakelingen op basis van CMOS-elementen.

Drempelapparaten op logische poorten

Drempelapparaten comparators genoemd, zijn ontworpen om een ​​analoog signaal om te zetten in digitale informatie. Het eenvoudigste drempelapparaat is de Schmitt-trigger, die hierin wordt beschreven. Naast de vorming van pulsen en het herstel van digitale signalen, worden drempelapparaten gebruikt in analoog-naar-digitaalomzetters, pulsgeneratoren van verschillende vormen.


Het circuit van het drempelapparaat is gebaseerd op logische elementen.

Over het algemeen is een logisch element zelf een drempelwaarde, maar het is overdrachtskenmerk niet helemaal lineair. Om de lineariteit van de overdrachtskarakteristiek van het logische element te vergroten, moet deze worden afgedekt positieve feedback (PIC) DC door weerstand R2. In dit geval wordt het een soort Schmitt trigger met de mogelijkheid om drempelspanningen te regelen. Breedte hysteresislus(het verschil tussen de drempelspanningen) hangt af van de verhouding van de weerstanden R1 en R2. De gevoeligheid hangt ook af van deze weerstanden. Met een toename van R2 en een afname van R1 neemt de gevoeligheid toe en neemt de breedte van de hysteresislus af. Voor TTL-microschakelingen weerstand R1 = 0,1 ... 2 kOhm en R2 = 2 ... 10 kOhm. Drempelapparaten op basis van CMOS-elementen zijn zeer economisch en het nadeel is een lage gevoeligheid. Voor CMOS-microschakelingen R1 is enkele tientallen kilo-ohm en R2 is enkele honderden kilo-ohm.

Generatoren op logische elementen

Digitale microschakelingen worden veel gebruikt in circuits van verschillende generatoren met frequenties van fracties van een hertz tot tientallen megahertz en een heel andere pulsvorm. Over het algemeen zijn oscillatoren een versterkertrap of meerdere, die vallen onder: frequentieafhankelijke feedback... Als dergelijke circuits worden RC-, LC-, RLC-circuits gebruikt, evenals piëzokeramische en kwartsresonatoren.

Hieronder weergegeven generatorcircuit met RC-frequentieafhankelijk circuit... De werking van deze generator houdt verband met de processen van het opladen en ontladen van de condensator C1 via de weerstand R1.


RC-oscillatorcircuit:

In dit generatorcircuit wordt OOS uitgevoerd via de weerstand R1, die het logische element in lineaire modus brengt, en wordt een frequentieafhankelijke PIC uitgevoerd via de condensator C1. Deze generator gebruikt zowel TTL- als CMOS-elementen. De weerstand van de weerstand R1 wordt op dezelfde manier geselecteerd als voor de versterkertrap met OOS, en de capaciteit van de condensator is afhankelijk van de vereiste oscillatiefrequentie. De generatiefrequentie kan worden bepaald door de geschatte formule:

F \ ongeveer \ frac (0.7) (RC)

Tijdens bedrijf genereert zo'n generator rechthoekige pulsen met een duty cycle ongeveer gelijk aan 2. De maximale generatiefrequentie wordt beperkt door de waarde van de schakelvertraging van logische elementen, dus voor CMOS-microschakelingen de maximale frequentie is 2 ... 4 MHz, en voor TTL- meerdere tientallen MHz.

Met behulp van digitale microschakelingen kunt u ook: sinusgolfgenerator, hiervoor is het noodzakelijk om te gebruiken LC-kring... Een diagram van een dergelijke generator wordt hieronder getoond.


LC-generatorcircuit

Zowel serieel als parallel worden gebruikt als frequentieafhankelijke communicatie. oscillerend circuit, maar in ieder geval zal de trillingsfrequentie overeenkomen met Thompson's formule

F = \ frac (1) (2 \ pi \ sqrt (LC))

De weerstand van de weerstand R1 wordt op dezelfde manier geselecteerd als voor versterkertrap.

Het nadeel van de hierboven beschreven generatoren is de lage stabiliteit van de gegenereerde frequentie. Om het te vergroten, worden piëzokeramische en kwartsresonatoren gebruikt, inclusief hun in de feedbackloop in plaats van een condensator of een oscillerend circuit.


Oscillatorcircuit met kwartsfrequentiestabilisatie

Theorie is goed, maar zonder praktische toepassing zijn dit slechts woorden.

Ministerie van de Russische Federatie

Tomsk Polytechnische Universiteit

__________________________________________________________________

EL Sobakin

DIGITAAL SCHEMA

Een deelI

zelfstudie

UDC 681.325.6

Sobakin E.L. digitale schakelingen... Leerboek. toelage. Deel I. Tomsk: Ed. TPU, 2002 .-- 160s.

De handleiding beschrijft de hoofdlijnen van de cursus van colleges voor studenten van de specialiteit 210100 Management en informatica in technische systemen. De handleiding is opgesteld bij de afdeling Automatisering en Computersystemen van TPU, komt overeen met het curriculum van de discipline en is bedoeld voor studenten van het Instituut voor Afstandsonderwijs.

Herdrukt in opdracht van de Editorial and Publishing Council van de Tomsk Polytechnic University

Beoordelaars:

VM Dmitriev Professor, doctor in de technische wetenschappen, hoofd van de afdeling theoretische grondslagen van elektrotechniek, Tomsk University of Control Systems and Radioelectronics;

SI. Korolev Directeur vzw Spetstehauditservice LLP,

kandidaat technische wetenschappen, senior onderzoeker.

Tempel 2002

Tomsk Polytechnische Universiteit, 2002

Invoering

Dit leerboek is bedoeld voor studenten van instellingen voor hoger onderwijs die studeren in de specialiteit 210100 "Informatica en besturing in technische systemen". Het is samengesteld op basis van een reeks lezingen die de auteur een aantal jaren aan de Tomsk Polytechnic University heeft gegeven, en is gewijd aan een systematische presentatie van methoden voor de geformaliseerde constructie van digitale technologie-apparaten op microschakelingen die op grote schaal worden gebruikt.

Het vakgebied "Digitale schakelingen" moet worden beschouwd als een vervolg op het opleidingsonderdeel "Elektronica", dat de studenten vooraf moeten beheersen, aangezien kennis van de elementbasis van analoge elektronische apparaten vereist is.

De meeste moderne automatiseringssystemen, computersystemen, informatietransmissie- en verwerkingssystemen worden geheel of gedeeltelijk op digitale apparaten uitgevoerd. Daarom is kennis van de principes van het gebruik van digitale apparaten en het bouwen op hun basissystemen voor verschillende doeleinden van actueel belang en van grote praktische waarde, zowel in engineering als in onderzoek van methodologische aard.

Het materiaal van de handleiding kan voorwaardelijk in drie delen worden verdeeld: 1) Grondbeginselen van micro-elektronica; 2) Combinatieapparaten van digitale technologie; 3) Sequentiële logische apparaten van digitale technologie.

Wanneer u begint met het beheersen van de cursus, moet u het materiaal bestuderen in de volgorde waarin de gespecificeerde delen zijn vermeld, aangezien het volgende materiaal gebaseerd is op kennis van het vorige, en het veranderen van de volgorde kan leiden tot problemen bij de assimilatie ervan. Dit wordt verergerd door het feit dat in andere leerboeken en speciale technische literatuur verschillende termen en concepten worden gebruikt om dezelfde verschijnselen, processen, uitgevoerde transformaties, enz. te verklaren. Het verschil in de gebruikte concepten of hun onjuistheid leidt tot een verkeerd begrip van de essentie van het gepresenteerde materiaal en als gevolg daarvan het ontstaan ​​​​van problemen bij de assimilatie ervan.

De eerste twee van deze secties zijn opgenomen in het eerste deel van deze handleiding (Hoofdstuk 1). Aan het derde deel is een aparte handleiding gewijd.

IN 1.Toepassing van digitale apparaten

In verband met de creatie en wijdverbreide introductie van microprocessorinrichtingen en -systemen in de technische praktijk, neemt de belangstelling voor digitale methoden voor informatieverwerking en -overdracht momenteel niet af en wordt deze opnieuw gestimuleerd. Deze methoden geven de systemen op hun beurt een aantal positieve eigenschappen en kwaliteiten. De betrouwbaarheid van de verzonden informatie neemt toe, hoge snelheid en prestatie van informatieverwerkingssystemen worden bereikt, hun aanvaardbare kosten, hoge betrouwbaarheid, laag energieverbruik, enz. worden gegarandeerd.

De taken die door deze systemen worden opgelost, zijn zeer divers en bepalen vooraf de functies van de apparaten die een specifiek systeem vormen. Daarom is het raadzaam om apparaten en hun functies precies te beschouwen in het licht van die taken die worden opgelost door systemen en in het bijzonder die deeltaken die worden uitgevoerd door individuele apparaten of blokken.

de belangrijkste typische taken die voortvloeien uit automatisch of geautomatiseerd beheer en controle van productie- of andere processen zijn:

verzameling informatie (verkrijgen);

transformatie informatie (schalen, normaliseren, filteren, coderen, enz.);

transmissie-ontvangst informatie;

verwerking en gebruik informatie;

opslag informatie.

Afhankelijk van het beoogde doel en de belangrijkste functies worden ze onderscheiden:

Automatische (of geautomatiseerde) controle- en bewakingssystemen.

Informatietransmissiesystemen.

Informatieverwerkingssystemen (computersystemen).

Om de relatie tussen deze taken, de plaats en de rol van elektronische digitale apparaten die in deze systemen worden gebruikt, te begrijpen, zullen we de algemene structurele diagrammen van deze systemen en het functionele doel van hun componenten beschouwen.

B1.1. Automatische controlesystemen

Heersen middelen om de toestand (positie) van het bestuurde object te kennen en in overeenstemming met het gegeven algoritme ( controle algoritme:) om het object te beïnvloeden, in een poging de ontstane afwijkingen te elimineren.

Daarom wordt controle in het algemene geval geassocieerd met de volgende acties:

het verkrijgen van informatie over de staat van het object;

vergelijking van de ontvangen informatie met de gespecificeerde informatie over de toestand van het object;

vorming van stuursignalen (acties);

impact op een object om het in de gewenste staat te brengen.

In overeenstemming met de vermelde acties, moet het automatische controlesysteem (ACS) in het algemene geval een informatiemeetapparaat, een controleapparaat en een uitvoerend apparaat bevatten (Fig. B1).

Informatie-meetapparaat (IUI) ontvangt informatie over het besturingsobject (OU) en verwerkt deze voor. Het verkrijgen van informatie bestaat uit de vorming van primaire signalen, waarvan de waarden evenredig zijn met de waarden van de parameters die de toestand van de op-amp kenmerken. Een object kan worden opgevat als een afzonderlijke productie-eenheid en het productieproces als geheel. En onder de parameters bevinden zich de "uitvoercoördinaten" van het object. Dit kunnen bijvoorbeeld waarden zijn van temperatuur, druk, materiaal- of energieverbruik en dergelijke. Aangezien de meeste van deze coördinaatparameters in analoge vorm worden gepresenteerd en worden gekenmerkt door een oneindige reeks waarden, moeten de signalen in hun parameters worden genormaliseerd, geschaald en een uniforme vorm hebben.

Daarom moet de IMU primaire meetomvormers en sensoren, analoog-naar-digitaal-omvormers en andere functionele eenheden hebben, met behulp waarvan de volgende conversies worden uitgevoerd:

waarden van fysieke grootheden in uniforme analoge signalen van gelijkstroom of wisselstroom;

schalen of normaliseren van signalen naar niveau en vorm;

conversie van analoge signalen naar discrete (digitale) signalen;

signaalcodering en enkele andere transformaties.

Signalen over de huidige waarden van coördinaten worden verzonden naar controle apparaat (Oeh) De functies van dit apparaat omvatten de vergelijking van huidige waarden met de opgegeven coördinaatwaarden en de vorming van stuursignalen (stuursignalen) op basis van de vergelijkingsresultaten. De setpoints kunnen worden ingevoerd door een menselijke operator of automatisch door software. In het eerste geval kan als regeleenheid een automatische regelaar of meerdere automatische regelaars worden gebruikt, waarvan de instellingen door een persoon worden bepaald en ingesteld. In het tweede geval is de CU een mini- of microcomputersoftwaremachine en wordt de rol van een menselijke operator beperkt tot het invoeren van het programma en de eerste opstart van het systeem.

Om deze functies uit te voeren, moet de besturingseenheid rekenkundige en logische bewerkingen uitvoeren voor het berekenen van waarden en het vergelijken van signalen, korte- en langetermijngeheugen (opslag) van signalen en de vorming van uniforme stuursignalen. Deze laatste bevatten informatie op basis waarvan verdere acties op het besturingsobject (besturingsacties) worden gevormd, waardoor het in de gewenste toestand komt.

Direct de impact van de benodigde fysieke natuurvormen uitvoerend apparaat (IWU). Het zet stuursignalen, bijvoorbeeld in de vorm van gelijkspanning of pulsstroom, om in het toerental van de stuurmotor, in de mechanische beweging van de klep op de stoomleiding, enzovoort. Om deze conversies uit te voeren, hebt u nodig: digitaal-naar-analoog-converters; converters van elektrische signalen naar niet-elektrische signalen; versterkers, enz. In dit geval kunnen converters van codes van digitale signalen of golfvormen van signalen nodig zijn als tussenliggende. Bijvoorbeeld codes van binaire getallen in een proportioneel aantal pulsen, enkelfasige signalen in meerfasen, gebruikt om stappenmotoren te besturen, enz.

Onder invloed van storende invloeden verlaat het object de normale toestand (mode), en brengt de ACS het terug in de gewenste (normale) bedrijfsmode. Het regelproces vindt realtime plaats, dat wil zeggen met een snelheid die wordt bepaald door de aard van fysieke processen. Als de besturingsacties in de tijd of buitensporig worden vertraagd, kan een onstabiele werking van het systeem optreden, waarbij de coördinaten van het object onaanvaardbare waarden kunnen aannemen en het object zelf of individuele apparaten van het systeem zullen falen , treedt er een noodmodus op. Daarom is in de theorie van ACS de belangrijkste zijn problemen met het beveiligenMetstabiliteit en controle nauwkeurigheid.

De meeste van de bovenstaande transformaties kunnen worden uitgevoerd met behulp van digitale micro-elektronische apparaten. De UU is volledig digitaal wanneer ze is gebouwd op basis van besturingsmicrocomputers of op digitale microschakelingen.

Digitale sensoren van fysieke hoeveelheden, evenals gedeeltelijk analoog-naar-digitaal en digitaal-naar-analoog signaalomzetters, worden gemaakt op digitale microschakelingen.

B1.2. Informatietransmissiesystemen (SPI)

Met een toename van de afstand tussen de IU en de CU (Fig. B1), evenals tussen de CU en de CU, het probleem van informatieoverdracht ontstaat... De noodzaak om informatie over lange afstanden te verzenden ontstaat niet alleen in ruimtelijk ontwikkelde systemen van automatische besturing en monitoring, maar ook in systemen anderen soorten communicatie(telegraaf, telefoon, fax, enz.). Bovendien ontstaat de behoefte om informatie over te dragen in computersystemen, datatransmissiesystemen, telemechanische systemen, enz. Deze taak wordt bemoeilijkt door het feit dat in het proces transmissie via communicatielijnen parameters zijn vervormd signalen en dit kan op zijn beurt leiden tot vervorming van informatie tot een afname van de getrouwheid (de waarschijnlijkheid van de juiste ontvangst). Vervorming van signalen is te wijten aan interferentie opkomend in communicatielijnen... Interferentie is in de regel van willekeurige aard en mag qua parameters niet verschillen van de parameters van de signalen. Daarom zijn ze "in staat" om signalen te vervormen en zelfs informatie te "reproduceren". transformeer het verzonden bericht... De laatste meest ongewenste gebeurtenis in de overdracht van informatie.

Om high-fidelity en maximale snelheid te garanderen ( ehFefficiëntie) overdracht van informatie, aanvullende signaaltransformaties en speciale methoden voor de overdracht ervan zijn vereist.

Dergelijke transformaties omvatten: codering en omgekeerde procedure informatie decoderen(en signalen). coderinger is een percentageedwaas die een bericht omzet in een signaal... In dit geval worden de transformaties uitgevoerd volgens bepaalde reglement, waarvan het geheel telefoongesprektXia-code.

Informatiecodering wordt uitgevoerd aan de verzendende kant en decodering aan de ontvangende kant. Onderscheiden anti-jamming codering en efficiënt. Doelanti-jamming codering bouwen (sfoRmute) een signaal dat minder storingsgevoelig is, geef het een teenenige structuur zodat fouten die tijdens de verzending aan de ontvangende kant zijn opgetreden, kunnen worden gedetecteerd of gecorrigeerd... En dus om een ​​hoge betrouwbaarheid van de overdracht te garanderen.

Doeleffectief codering zorgen voor maximale ckOde snelheid waarmee informatie wordt verzonden, aangezien de waarde ervan grotendeels wordt bepaald door hoe laat het wordt ontvangen... Volgens deze eis moet het gecodeerde bericht de vereiste hoeveelheid informatie bevatten en tegelijkertijd de minimale lengte hebben, zodat de verzending een minimum aan tijd in beslag neemt.

Signalen (en informatie) worden verzonden via communicatie kanalen. Koppeling dit is een pad (pad) van onafhankelijke signaaloverdracht van de bronHbijnaam naar de corresponderende ontvanger (ontvanger) van informatie. Communicatiekanalen worden gevormd door technische middelen van kanaalvormende apparatuur en zijn, net als communicatielijnen, onderhevig aan de invloed van interferentie.

Een van de belangrijkste taken die in de SPI worden opgelost, is de taak om het vereiste aantal communicatiekanalen te creëren. De efficiëntie en ruisimmuniteit van transmissie wordt grotendeels bepaald door de gebruikte communicatiekanalen. Onder pomehostability inzicht in de mogelijkheden van het systeem(signaal, code) enzovoorteengoed gedaanikleer zijn functies in aanwezigheid van interferentie.

Meestal kan één en hetzelfde systeem worden gebruikt om informatie uit vele bronnen over te dragen naar het overeenkomstige aantal ontvangers (ontvangers). Daarom wordt de vorming van het vereiste aantal kanalen met de vereiste ruisimmuniteit toegewezen aan het communicatieapparaat. In dit geval kunnen de volgende transformaties in het communicatieapparaat worden uitgevoerd: modulatie en demodulatie signalen; versterking van verzonden naar de lijn en ontvangen van lennii communicatie signalen; beperking op niveau en frequentiespectrum signalen en enkele anderen.

Afhankelijk van het toepassingsgebied (toepassing) van de SPI is er behoefte aan aanvullende transformaties, zoals het transformeren van de vorm van signalen, hun fysieke aard, het standaardiseren van de parameters van signalen die van buitenaf komen en signalen die door het systeem worden afgegeven aan externe apparaten; tijdelijke opslag van signalen die naar het communicatiekanaal worden verzonden en door het systeem worden afgegeven.

De opgesomde transformaties bepalen vooraf de functionele samenstelling van de zend- en ontvangapparatuur van informatietransmissiesystemen (Fig. B2).

Zoals u in het diagram kunt zien, wordt de transmissie in één richting van links naar rechts uitgevoerd. Het apparaat voor invoer en primaire transformatie van informatie (UPPI) zet signalen die afkomstig zijn van informatiebronnen om in uniforme "primaire" signalen die niet direct over lange afstanden kunnen worden verzonden. Meestal zijn deze uniforme signalen gelijkspanningen met vaste niveauwaarden. In het UVPI-blok worden de primaire signalen voor het tijdstip van verzending opgeslagen (in het buffergeheugen), waarna ze uit het geheugen worden gewist. De encoder (CU) zet de primaire signalen om in gecodeerde signalen met een specifieke structuur en formaat, waardoor ze (signalen) over lange afstanden kunnen worden verzonden ("TV-signalen"). In de regel is dit apparaat combinatorisch, hoewel het in sommige gevallen ook sequentieel (multi-cycle) kan worden gemaakt. Logische en rekenkundige bewerkingen van coderingsprocedures worden hier geïmplementeerd.

Het belangrijkste doel van een communicatieapparaat (Fig. B2) is het creëren of organisatie van communicatiekanalen op de voorziene communicatielijn. Communicatielijn: het is het materiële medium tussen de zender (Rd) en de ontvanger (Rm) van het systeem. De figuur toont conventioneel een tweedraads elektrische communicatielijn. Radio- en glasvezelcommunicatielijnen en andere kunnen echter worden gebruikt. Afhankelijk van het type lijn in PRD en PRM worden verschillende signaaltransformaties uitgevoerd om hun parameters en karakteristieken af ​​te stemmen op de parameters en karakteristieken van de communicatielijn en transformaties gericht op verhoogde ruisimmuniteit signalen.

Aan de ontvangstzijde worden de van de communicatielijn ontvangen gecodeerde signalen weer door een decodeerinrichting (DSC) omgezet in primaire signalen. In dit geval worden fouten in de ontvangen signalen gedetecteerd door decodeerprocedures en kunnen ze worden gecorrigeerd, en aldus wordt de vereiste betrouwbaarheid van de informatieoverdracht verzekerd. EEN uitgangsconverters(VP) transformeren deze primaire signalen in een vorm en een vorm (fysieke aard) die kan worden waargenomen door de ontvangers van informatie.

Opgemerkt moet worden dat de meeste functionele "knooppunten" en "blokken" getoond in figuur B2 kunnen worden geïmplementeerd op digitale microschakelingen. Daarom zijn informatietransmissiesystemen meestal digitaal.

B1.3. Informatieverwerkingssystemen

(computersystemen)

De typische taken die hierboven worden opgesomd, kunnen worden opgelost en geformaliseerd door wiskundige en logische methoden. Op hun beurt werken de genoemde methoden met de eenvoudigste bewerkingen (rekenkundig of logisch), waarvan de uitvoering op sommige "initiële gegevens" een nieuw, voorheen onbekend resultaat oplevert. Deze gemeenschappelijkheid van methoden voor het oplossen van verschillende problemen van informatieverwerking maakte het mogelijk om een ​​afzonderlijke klasse van apparaten en systemen te creëren, met als doel (aanvankelijk) de automatisering van computerprocedures elektronische computers (computers). In het huidige ontwikkelingsstadium van computertechnologie zijn computers "veranderd" in computers, op basis waarvan moderne computersystemen voor het verwerken en verzenden van informatie worden gebouwd. Een algemeen blokschema van een computersysteem wordt getoond in Fig. B3.

De verwerkte gegevens zijn voorlopig invoerapparaat Uvv ga naar Geheugenapparaat Geheugen, waar ze gedurende de gehele verwerkingstijd worden bewaard. Het programma voor het verwerken van binnenkomende informatie wordt ook in hetzelfde geheugen opgeslagen.

Het programma van het systeem, evenals de "gegevens", worden in het geheugenapparaat opgeslagen in de vorm van multi-bit binaire getallen die op bepaalde adressen (adressen van de geheugencellen) in de geheugencellen worden geschreven. Binaire getallen, waarvan het totaal een gegevensverwerkingsprogramma vertegenwoordigt, zijn gestructureerd in een bepaald aantal delen, die elk een specifiek doel hebben. In het eenvoudigste geval zijn er de volgende onderdelen: 1) een bewerkingscode die moet worden uitgevoerd met twee binaire getallen die de waarden van "data" vertegenwoordigen en "operands" worden genoemd; 2) het adres van de eerste operand; 3) het adres van de tweede operand. De combinatie van deze onderdelen vormt een "team".

Het werk van een computer bestaat uit het achtereenvolgens uitvoeren van opdrachten die door het programma worden gegeven. Coördineert het werk van alle blokken in de tijd en beheert ze controle apparaat Uu... En direct logische en rekenkundige bewerkingen (acties) op de operanden worden uitgevoerd rekenkundeenco-logisch apparaat ALU, die, na een signaal van de CU "operatiecode", telkens wordt geconfigureerd om een ​​specifieke handeling uit te voeren.

De besturingseenheid decodeert de opdracht die is ontvangen uit het geheugen (Fig. B3 "volgende opdracht"), stuurt de bewerkingscode naar de ALU en bereidt zich voor om de overeenkomstige bewerking uit te voeren. Vervolgens genereert het signalen voor bemonstering uit het geheugen van de operanden (zie het signaal "Data-adressen") en bepaalt het adres van het volgende commando, dat moet worden uitgevoerd in de volgende cyclus van de computer ("Adres van het volgende commando") . Operanden worden uit het geheugen gelezen door signalen van de CU, en de ALU voert de nodige acties uit. In dit geval wordt een tussenresultaat ("Operatieresultaat") gevormd, waarin ook het geheugen wordt opgeslagen. Afhankelijk van het resultaat van de bewerking kan het nodig zijn om de volgorde van de uitvoering van de opdrachten te wijzigen, de gegevensverwerking te stoppen of om foutmeldingen aan de operator te tonen. Hiervoor wordt het signaal "Teken van het resultaat" van de ALU naar de CU gestuurd. Het proces van het verwerken van de ingevoerde gegevens (informatie) gaat door totdat het commando "Einde berekeningen" wordt opgehaald, of de operator, naar eigen goeddunken, het proces van gegevensverwerking stopt.

Het resulterende verwerkingsresultaat wordt ook in het geheugen opgeslagen en kan worden weergegeven via uitvoerapparaat Helaas aan het einde van het verwerkingsproces of tijdens het proces, indien voorzien door het programma.

Voor de "communicatie" van de operator met de computer, eindapparaten DAT, bedoeld voor de operator om commando's en andere berichten in te voeren en voor de operator om "berichten" van de computer uit te voeren.

Fig. B3 toont niet de aansluitingen van het besturingsapparaat, die zorgen voor synchronisatie van de werking van alle componenten van de computer. Brede pijlen geven de mogelijkheid aan van parallelle gegevensoverdracht (gelijktijdige overdracht van alle bits van meercijferige binaire getallen).

Bijna alle blokken getoond in Fig. B3 (behalve voor eindapparaten) kunnen alleen volledig worden uitgevoerd op digitale geïntegreerde schakelingen (IC's). Met name CU, ALU en een deel van het geheugen (registergeheugen van het RAM) kunnen in de vorm van één IC worden gemaakt met een hoge mate van integratie. De benoemde set blokken vormt microprocessor centrale verwerkingseenheid van een computer, gemaakt door middel van integrale technologie op een enkel halfgeleiderkristal.

Gegevensinvoer- en uitvoerapparaten bestaan ​​in de regel uit buffergeheugenregisters, die worden gebruikt voor tijdelijke opslag van respectievelijk invoer- en uitvoergegevens en voor coördinatie van het systeem met externe apparaten.

Een opslagapparaat (geheugen) is meestal verdeeld in twee delen: een random access memory (RAM) en een permanent geheugen. De eerste wordt gebruikt om tussentijdse resultaten van berekeningen op te slaan, de "inhoud" verandert voortdurend tijdens het gegevensverwerkingsproces. RAM werkt in de modi "lezen" en "schrijven" van gegevens. En het tweede, permanent geheugen (ROM), wordt gebruikt om standaard subroutines op te slaan en sommige systeem (service) subroutines die de processen van het aan- en uitzetten van de computer regelen. Gewoonlijk wordt ROM uitgevoerd op een door de gebruiker programmeerbaar ROM (PROM), ofwel voorgeprogrammeerd in de fabriek met een IC-ROM, of een door de gebruiker programmeerbaar ROM (PROM). Meestal zijn dit niet-vluchtige opslagapparaten waarin de opgenomen informatie niet wordt "vernietigd", zelfs als ze zijn losgekoppeld van de stroombron.

De ALU bevat de gelijknamige IC, die logische en rekenkundige bewerkingen uitvoert met binaire getallen, logische elementen en een aantal andere functionele eenheden die dienen om getallen te vergelijken, digitale vergelijkers, om de snelheid van rekenkundige bewerkingen te verhogen, bijvoorbeeld " snelle overdrachtsblokken", enz.

De CU omvat timer-apparaten die de klokfrequentie van het systeem instellen en, uiteindelijk, de prestaties ervan bepalen, commandocodedecoders, programmeerbare logische matrices, registers, microprogrammabesturingsblokken, evenals input-output "poorten".

Alle vermelde functionele eenheden zijn gemaakt in de vorm van geïntegreerde digitale apparaten.

De belangrijkste problemen computersystemen vergroten ten eerste hun productiviteit(snelheid). En ten tweede zorgen voor de werking van systemen live.

Het eerste probleem is systeembreed van aard en wordt opgelost door het gebruik van een nieuwe elementbasis en speciale methoden voor informatieverwerking.

Het tweede probleem doet zich voor bij het gebruik van computersystemen om productieprocessen te besturen en is dat de productiesnelheden en computerprocessen consistent moeten zijn. De werking van een computersysteem (CS) vindt plaats in de zogenaamde "machine"-tijd, wanneer een bepaald vast en ondeelbaar tijdsinterval wordt genomen als een tijdseenheid, de "cyclus van het werk" van een computer of computer genoemd. , terwijl echte fysieke processen, bijvoorbeeld technologische processen, in realtime plaatsvinden, gemeten in seconden, fracties van een seconde, uren, enz. Om het gebruik van computers mogelijk te maken, is het noodzakelijk om de snelheid van informatieverwerking niet minder te maken dan de snelheid van echte fysieke processen. De oplossing voor dit probleem wordt bereikt door het organiseren van speciale methoden voor het uitwisselen van informatie (gegevens) van de regelcomputer met randapparatuur en het gebruik van speciale, zogenaamde inteRgezichtsschema's en apparaten... De functies van de interfacecircuits omvatten:

het bepalen van het adres van een extern apparaat waarvoor informatie moet worden uitgewisseld met de processor of met het opslagapparaat van het systeem;

generatie van interruptsignalen van de VS-processor en initialisatie van de overgang naar het serviceprogramma van het object dat de interrupt heeft aangevraagd. Dit gebeurt volgens een speciaal prioriteitssysteem;

implementatie van wachtrijen voor het onderhoud van externe apparaten;

coördinatie in parameters en tijdstip van uitwisselingssignalen, enz.

Dankzij moderne prestaties op het gebied van geïntegreerde technologie bij de vervaardiging van micro-elektronische apparaten, de creatie van microcomputers en computers die worden gekenmerkt door kleine afmetingen, een laag energieverbruik en redelijke kosten, is het mogelijk geworden om ze te gebruiken als onderdeel van systemen voor verschillende doeleinden. Tegelijkertijd krijgen deze systemen nieuwe kwaliteiten en worden ze multifunctioneel met de mogelijkheid om flexibel van de ene modus naar de andere over te schakelen door simpelweg de configuratie van de systemen te veranderen. Deze voordelen openen op hun beurt nieuwe perspectieven voor de toepassing van computersystemen op een groot aantal gebieden van menselijke activiteit: in de wetenschap, geneeskunde, onderwijs en opleiding, en nog meer in technologie.

Telefonische communicatie werd bijvoorbeeld van oudsher uitgevoerd door analoge apparaten, toen menselijke spraak (via draden) werd verzonden door signalen in de vorm van wisselstromen van audiofrequenties. Nu is er een intensieve transitie naar digitale telefonie, waarbij analoge signalen (van een microfoon) worden omgezet in digitale signalen die over lange afstanden worden uitgezonden zonder noemenswaardige vervorming. Aan de ontvangende kant worden deze digitale signalen weer naar analoog omgezet en naar de telefoon gestuurd. De overgang naar digitale communicatie maakt het mogelijk de kwaliteit van de spraakoverdracht te verbeteren, daarnaast kan het telefoonnetwerk worden gebruikt voor andere diensten: inbraakalarm; brandalarm; voor "conferentiegesprekken" van meerdere abonnees, enzovoort.

IN 2. Vergelijkende evaluatie van digitale en analoge apparaten

micro-elektronische techniek

Bij het bepalen van de constructie of het ontwerp van een apparaat, moet u eerst beslissen over de richting van het ontwerp, wat wordt het apparaat? Analoog of discreet(digitaal)? Deze beslissing kan op zijn beurt worden genomen door de voor- en nadelen van beide apparaten te kennen. Laten we eerst een definitie geven van de concepten "analoge" en "digitale" apparaten.

Analoog noemde dit apparaat, waarin alle invoer-, uitvoer- en tussenliggende (interne) signalen continu zijn, worden beschreven door continue wiskundige functies. Deze signalen worden gekenmerkt door een oneindig aantal waarden in termen van niveau (toestanden) en zijn continu in de tijd, hoewel het variatiebereik van de waarden van het continue signaal beperkt is. Daarom worden dergelijke apparaten soms regelingelandgoederen nediscontinu.

Discrete apparaten of apparaten discrete actietviya worden die genoemd waarin de invoer-, uitvoer- en tussensignalen worden gekenmerkt door een telbare reeks waarden in termen van niveau en bestaan ​​op bepaalde tijdsintervallen. Dergelijke signalen kunnen worden weergegeven in een of ander positienummersysteem (overeenkomstige nummers). Bijvoorbeeld in decimaal getalsysteem of binair getalsysteem. De binaire representatie van signalen heeft de grootste toepassing gevonden in de technologie en in de formele logica bij de berekening van uitspraken en bij het afleiden van gevolgtrekkingen uit verschillende premissen. Daarom worden discrete apparaten genoemd logisch(vergelijkbaar met formele binaire logica) of digitaal, rekening houdend met de mogelijkheid om ze te beschrijven met behulp van de nummers van het positienummersysteem.

Nadelen van technische middelen van analoge technologie

De aanwezigheid van "drift" en "ruis". driften het is een langzame verandering in het signaal, vanwege de discrete aard van de verschijnselen, in relatie tot de gegeven waarde. Voor elektrische signalen wordt de discrete aard van de stroom van elektrische stroom bijvoorbeeld bepaald door elektronen en "gaten", die dragers zijn van elektrische ladingen. Geluiden Dit zijn willekeurige veranderingen in het signaal veroorzaakt door externe of interne factoren, bijvoorbeeld temperatuur, druk, de sterkte van het aardmagnetisch veld, enz.

Methodologische problemen bij het definiëren van de begrippen "gelijkheid tot nul" en "gelijkheid van analoge signalen". En als gevolg daarvan het bestaan ​​van het probleem van "zorgen voor de gespecificeerde nauwkeurigheid (fout)" van transformaties en signaaloverdracht.

Mogelijkheid van het verschijnen van onstabiele werkingsmodi en het bestaan ​​​​van het probleem van "zorgen voor de stabiliteit" van de werking van systemen en apparaten. Een onstabiele modus wordt gekenmerkt door het verschijnen in een apparaat of systeem van ongedempte oscillaties bij de verandering van sommige signalen. In de elektronica wordt dit fenomeen veel gebruikt bij de constructie van pulsgeneratoren en generatoren van harmonische oscillaties.

Technische problemen bij de implementatie van opslagapparaten en tijdvertragingsapparaten voor analoge signalen.

Onvoldoende mate van integratie van analoge elementen en hun veelzijdigheid.

Relatief kort zendbereik van analoge signalen door energiedissipatie in communicatielijnen.

Relatief hoog energieverbruik, omdat analoge elementen werken op lineaire secties van hun voorbijgaande kenmerken en energie "verbruiken" in de initiële (initiële) toestanden.

Voordelen van technische middelen van analoge technologie

Toereikendheid van het in kaart brengen van fysieke processen en patronen: beide worden beschreven door continue afhankelijkheden. Dit maakt het mogelijk om de fundamentele technische oplossingen van analoge apparaten en systemen aanzienlijk te vereenvoudigen.

Efficiëntie en gemak van het wijzigen van de bedrijfsmodi: vaak is het voldoende om de weerstand van de weerstand of de capaciteit van de condensator te wijzigen, zodat de onstabiele modus verandert in een stabiele of om een ​​bepaald tijdelijk proces in het apparaat te bieden.

Het is niet nodig om analoge waarden om te zetten naar discrete. Deze transformaties gaan gepaard met een fout en een zekere tijdverspilling.

Voordelen van technische middelen van digitale technologie

De mogelijkheid van geprogrammeerde besturing, die de flexibiliteit vergroot om de structuur en het algoritme van het functioneren van systemen te veranderen, maakt het mogelijk om de implementatie van adaptieve besturingswetten te vereenvoudigen.

Gemak om de gespecificeerde betrouwbaarheid, nauwkeurigheid en ruisimmuniteit van de systemen te garanderen.

Gemak om de compatibiliteit van apparaten met digitale informatieverwerkende apparaten (computers, computers) te garanderen.

Een hoge mate van constructieve en functionele integratie, veelzijdigheid met de mogelijkheid om systemen te bouwen volgens standaard ontwerpoplossingen. Dit stelt u op zijn beurt in staat om de productiekosten en besturingssystemen en apparaten te verlagen.

Het vermogen om te ontwerpen met formele logische methoden, waarmee u de ontwerptijd van apparaten kunt verkorten en het mogelijk maakt om de functies van apparaten (en daarop gebaseerde systemen) te wijzigen door middel van aggregaatconstructie tijdens bedrijf.

Nadelen van technische middelen van digitale technologie

De noodzaak om analoge signalen om te zetten in discrete. Deze transformaties gaan gepaard met het optreden van fouten en vertragingen in de tijd.

De relatieve complexiteit van veranderende bedrijfsmodi. Om dit te doen, is het noodzakelijk om de structuur van het systeem of het algoritme van zijn werking te veranderen.

De complexiteit van de processen voor het analyseren van het functioneren van systemen, zowel bij het controleren van de juistheid van hun werk als bij het zoeken naar opkomende storingen. Digitale apparaten worden gekenmerkt door een grote functionele complexiteit, die speciale "diagnostische" apparaten vereist, die worden bestudeerd in een speciaal gebied van technologie genaamd technische dentegensprekenenterughoudend.

Verhoogde eisen aan de cultuur van productie en aan de cultuur van het onderhouden van technische middelen van digitale technologie. Dit stimuleert op zijn beurt de noodzaak om de kwalificaties van het servicepersoneel te verbeteren en vereist hoge kwalificaties van hen.

Vergelijkende analyse van de genoemde voor- en nadelen geeft: conclusie in het voordeel technische middelen digitale technologie... Daarom lijken digitale apparaten momenteel op grote schaal te worden geïntroduceerd in de traditionele gebieden van analoge technologie: televisie, telefooncommunicatie, in geluidsopnametechnologie, radiotechniek, in automatische controle- en regelsystemen.

1. Grondbeginselen van micro-elektronische engineering

1.1. Basisconcepten en definities

Micro-elektronica de hoofdrichting van de elektronica, die de problemen van ontwerp, onderzoek, creatie en toepassing van elektronische apparaten bestudeert met een hoge mate van functioneel en construerenvNoach integratie.

Micro-elektronisch product, geïmplementeerd door middel van integrale technologie en het uitvoeren van een bepaalde functie van het converteren en verwerken van signalen, wordt genoemd geïntegreerde schakeling(IMS) of gewoon integraalBnoah schema(IS).

Micro-elektronisch apparaat een set onderling verbonden IC's die een complete, nogal complexe functie (of meerdere functies) vervullen voor het verwerken en transformeren van signalen. Een micro-elektronisch apparaat kan worden ontworpen in de vorm van een enkele microschakeling of op meerdere IC's.

Onder functionele integratie de toename begrijpen van het aantal functies dat door een bepaald apparaat is geïmplementeerd (uitgevoerd). In dit geval wordt het apparaat beschouwd als: een hele, ondeelbaar. EEN constructief inteelegantie dit is een toename van het aantal componenten in een apparaat dat wordt beschouwd als: een hele... Een voorbeeld van een micro-elektronisch apparaat met een hoge mate van structurele en functionele integratie is: miNaarroprocessor(zie hierboven), die in de regel wordt uitgevoerd in de vorm van één "grote" IC.

Circuits is een onderdeel van micro-elektronica, met als onderwerp: bouwmethoden apparaten voor verschillende doeleinden op microfoonOschema's voor wijdverbreid gebruik... Het onderwerp digitale schakelingen zijn methoden om apparaten alleen op digitale IC's te bouwen (ontwerpen).

Kenmerk van digitale circuits: wordt veel gebruikt om de werkingsprocessen van apparaten te beschrijven formeel of formele natuurlijke talen en op basis daarvan geformaliseerde ontwerpmethoden... Formele talen zijn booleaanse algebra(algebra van logica, Booleaanse algebra) en de taal van "automatische" logische functies algebra van staten en gebeurtenissen... Door het gebruik van geformaliseerde methoden, multivariantie bij het oplossen van toegepaste problemen is er een kans optimale keuze van circuitoplossingen door een of ander criterium.

Formele methoden gekenmerkt door een hoog niveau van abstractie, afleiding, verwaarlozing van de specifieke eigenschappen van het beschreven object. De aandacht is alleen gericht op de algemene patronen in de onderlinge relaties tussen de componenten van het object en zijn samenstellende delen. Dergelijke "regelmatigheden" omvatten bijvoorbeeld de regels van rekenkundige bewerkingen in de algebra van getallen (de regels voor optellen, aftrekken, vermenigvuldigen, delen). Tegelijkertijd worden ze afgeleid van de betekenis van getallen (of het nu gaat om het aantal appels of tabellen, enz.). Deze regels zijn strikt geformaliseerd en de regels voor het verkrijgen van complexe rekenkundige uitdrukkingen, evenals de berekeningsprocedures voor dergelijke uitdrukkingen, zijn geformaliseerd. In dergelijke gevallen, zeggen ze, zijn formeel en siNDatNaarzus en taal grammatica beschrijvingen.

In formele natuurlijke talen is de syntaxis geformaliseerd, en de grammatica (regels voor het construeren van complexe uitdrukkingen) gehoorzaamt aan de grammatica van een natuurlijke taal, bijvoorbeeld Russisch of Engels. Voorbeelden van dergelijke talen zijn verschillende beschrijvingstalen in tabelvorm. De theoretische basis voor het beschrijven van digitale apparaten is met name de "Theory of Finite Machines" of "Theory of Relay Devices and Finite Machines".

1.2. Classificatie van micro-elektronische apparaten

De hele verscheidenheid aan micro-elektronische apparaten (MEU) kan worden ingedeeld volgens verschillende criteria:

door het principe en de aard van de actie;

door functioneel doel en uitgevoerde functies;

door productietechnologie;

per toepassingsgebied;

door ontwerp en technische kenmerken, enzovoort.

Laten we nu in meer detail de indeling van MEA volgens classificatiecriteria bekijken.

volgens principe:(karakter) acties alle MEU's zijn onderverdeeld in: eeneenden en digitaal... De concepten van analoge en discrete apparaten, inclusief digitale, zijn hierboven al gegeven. Hier merken we op dat als in discrete apparaten alle signalen slechts twee voorwaardelijke waarden hebben van een logische nul (logische 0) en een logische eenheid (logische 1), dan worden de apparaten genoemd logisch... Doorgaans zijn alle digitale apparaten logische apparaten.

Afhankelijk van de uitgevoerde functies (functioneel doel), worden de volgende micro-elektronische apparaten onderscheiden:

I. Analoog

1.1. Versterkende apparaten (versterkers).

1.2. Functionele converters die wiskundige bewerkingen uitvoeren op analoge signalen (bijvoorbeeld integratie, afleiding, enz.).

1.3. Meetomvormers en sensoren van fysieke grootheden.

1.4. Modulators en demodulators, filters, mixers en harmonische oscillatoren.

1.5. Opslagapparaten.

1.6. Spannings- en stroomstabilisatoren.

1.7. Geïntegreerde schakelingen voor speciale doeleinden (bijvoorbeeld voor het verwerken van radio- en videosignalen, comparatoren, schakelaars, enz.).

II. Digitale MEU

2.1. Logische poorten.

2.2. Encoders, codedecoders en codeconverters.

2.3. Geheugenelementen (triggers).

2.4. Opslagapparaten (RAM, ROM, EPROM, PLM, enz.).

2.5. Rekenkundige logische apparaten.

2.6. Selectors, shapers en pulsgeneratoren.

2.7. Telapparaten (impulstellers).

2.8. Digitale vergelijkers, schakelaars van discrete signalen.

2.9. registreert.

2.10. Microschakelingen voor speciale doeleinden (bijvoorbeeld timer, op microprocessors gebaseerde IC-sets, enz.).

De gegeven classificatie is verre van volledig, maar het stelt ons in staat om te concluderen dat de nomenclatuur van digitale apparaten veel breder is dan de nomenclatuur van analoge MEM's.

Naast de genoemde, zijn er microschakelingen voor signaalniveau-omzetters, bijvoorbeeld Schmitt-triggers, waarbij de ingangssignalen analoog zijn en de uitgangssignalen discreet, binair. Dergelijke microschakelingen nemen een tussenpositie in. Evenzo moeten microschakelingen van analoog-naar-digitaal en digitaal-naar-analoog-omzetters (ADC en DAC), analoge signaalschakelaars die worden bestuurd door discrete signalen, worden aangeduid als "tussenliggende" MEA's.

Afhankelijk van het aantal geïmplementeerde functies wordt onderscheid gemaakt tussen: eenOfunctioneel(eenvoudig) en multifunctioneel(complexe) MEU. In multifunctionele apparaten kunnen functies worden uitgevoerd tegelijkertijd of consequent op tijd. Afhankelijk hiervan worden de apparaten in het eerste geval "parallelle" apparaten genoemd en in het tweede geval apparaten met sequentiële actie of "sequentieel". Als een multifunctioneel apparaat is geconfigureerd om een ​​bepaalde functie uit te voeren door ingangen te schakelen (fysiek opnieuw schakelen van elektrische circuits), dan wordt zo'n apparaat een apparaat genoemd met " rigide logica"Het werk. En als de wijziging in de uitgevoerde functies wordt uitgevoerd met behulp van extra externe signalen (op de zogenaamde besturingsingangen), dan moeten dergelijke MEM's worden geclassificeerd als "softwaregestuurd". IC's van rekenkundige logische apparaten (ALU) kunnen bijvoorbeeld rekenkundige of logische bewerkingen uitvoeren met twee multi-bit binaire getallen. En de instelling om rekenkundige (of logische) bewerkingen uit te voeren wordt uitgevoerd door één extra extern signaal, afhankelijk van de waarde waarvan de gewenste acties zullen worden uitgevoerd. Daarom moet ALU worden geclassificeerd als een softwaregestuurde MEA.

Productietechnologie alle IC's zijn onderverdeeld in:

Halfgeleider;

Film;

Hybride.

V halfgeleider Alle IC-componenten en verbindingen worden gemaakt in de bulk en op het oppervlak van het halfgeleiderkristal. Deze IP's zijn onderverdeeld in: Benpolair microschakelingen (met vaste polariteit van voedingsspanningen) en aan unipolair met de mogelijkheid om de polariteit van de voedingsspanning te veranderen. Afhankelijk van het circuitontwerp van de "interne inhoud", zijn bipolaire microschakelingen onderverdeeld in de volgende typen:

TTL transistor-transistor logica;

TTLsh transistor-transistorlogica met transistors en Schottky-diodes;

ESL-emittergekoppelde logica;

I2L-injectielogica en anderen.

Unipolaire technologie-microschakelingen zijn gebaseerd op MIS-transistors ("metaal-diëlektrische halfgeleider"), of MOS-transistoren ("metaaloxide-halfgeleider"), of CMOS-transistoren (complementaire "metaaloxide-halfgeleider").

V film Alle IC-componenten en verbindingen worden alleen uitgevoerd op het oppervlak van het halfgeleiderkristal. Onderscheiden dunne film(met een laagdikte van minder dan 1 micron) en dikke film met een filmdikte van meer dan een micron. Dunnefilm-IC's worden vervaardigd door thermische vacuümafzetting en kathodisch sputteren, en dikkefilm-IC's worden gemaakt door zeefdruk met daaropvolgend bakken van additieven.

Hybride IC's bestaan ​​uit "eenvoudige" en "complexe" componenten die zich op een enkel substraat bevinden. Halfgeleider- of film-IC-kristallen worden meestal gebruikt als complexe componenten. De eenvoudige omvatten discrete componenten van elektronische apparatuur (transistoren, diodes, condensatoren, inductoren, enz.). Al deze componenten bevinden zich structureel op een enkel substraat en er worden ook elektrische verbindingen tussen gemaakt. Bovendien vormt één substraat met daarop de componenten één "laag" van het hybride IC. Onderscheiden een laag en meerlagig hybride IC's. Het meerlagige hybride IC is in staat om vrij complexe signaalverwerkingsfuncties uit te voeren. Zo'n microschakeling is in actie gelijk aan een "microblok" van apparaten, of, als het bedoeld is voor onafhankelijk gebruik, aan de actie van een "heel" blok.

Bovendien worden eventuele microschakelingen kwantitatief beoordeeld laten zieneentele hun moeilijkheden... Als een dergelijke indicator wordt gebruikt " rang integratie» k, gelijk aan de decimale logaritme van het totaal N componenten die zich op één halfgeleiderkristal bevinden, dat wil zeggen:

k = lq N. (1)

Volgens formule (1) worden alle microschakelingen onderverdeeld in microschakelingen van de 1e, 2e, derde enzovoort integratiegraden. De mate van integratie kenmerkt slechts indirect de complexiteit van microschakelingen, aangezien alleen constructief integratie. In feite hangt de complexiteit van de microschakeling ook af van het aantal onderlinge verbindingen tussen de componenten.

In de ingenieurspraktijk wordt een kwalitatief kenmerk van de complexiteit van microschakelingen gebruikt in termen van "kleine", "middelgrote", "grote" en "extra grote" IC.

Tabel 1.1 geeft informatie over de onderlinge overeenstemming van kwalitatieve en kwantitatieve maten van de complexiteit van IS per type.

Tabel 1.1

IP-naam

Productietechnologie

Het aantal componenten op een chip

inburgeringsgraad k

Klein (IIA)

Digitaal

bipolair

unipolair

Analoog

bipolair

Gemiddeld (SIS)

Digitaal

bipolair

unipolair

Analoog

bipolair

unipolair

Groot (LSI)

Digitaal

bipolair

unipolair

Analoog

bipolair

unipolair

Extra groot (VLSI)

Digitaal

bipolair

unipolair

Meer dan 10000

Analoog

bipolair

unipolair

Uit de analyse van tabel 1.1 volgt dat, in vergelijking met digitale IC's, analoge microschakelingen met dezelfde mate van integratie in hun samenstelling (op een halfgeleiderkristal) meer dan driemaal een kleiner aantal componenten hebben. Dit komt omdat de actieve componenten (transistoren) van een analoge microschakeling in een lineaire modus werken en meer energie dissiperen. De noodzaak om warmte te verwijderen die wordt gegenereerd door energiedissipatie, beperkt het aantal componenten dat op een enkele chip kan worden geplaatst. In digitale microschakelingen werken actieve componenten in een sleutelmodus (transistoren zijn ofwel vergrendeld of open en bevinden zich in de verzadigingsmodus). In dit geval is de vermogensdissipatie verwaarloosbaar, en de hoeveelheid gegenereerde warmte is ook verwaarloosbaar, en daarom kan het aantal componenten op de chip meer worden geplaatst. (De afmetingen van de matrijs zijn gestandaardiseerd en beperkt.) Met unipolaire technologie is het volume van de matrijs dat wordt ingenomen door een veldeffecttransistor ongeveer drie keer kleiner dan het volume dat wordt ingenomen door een bipolaire transistor ( N- P- N of P- N- P type). Dit verklaart het feit dat er meer actieve componenten op een kristal van standaardafmetingen in een unipolaire microschakeling kunnen worden geplaatst.

Door ontwerp afhankelijk van de functionele complexiteit worden micro-elektronische apparaten onderverdeeld in:

op eenvoudige microschakelingen (IC);

op micro-assemblages;

op microblokken.

IMS micro-elektronisch product vervaardigd in uniforme technologieOgeologische cyclus, geschikt voor zelfstandig gebruik of als onderdeel van complexere producten (inclusief microassemblages en microblokken). Microschakelingen kunnen pakketloos zijn en hebben een individuele behuizing die het kristal beschermt tegen invloeden van buitenaf.

Microassemblage een micro-elektronisch product dat een nogal complexe functie (functies) vervult en bestaat uit elektro-radiocomponenten en microschakelingen, vervaardigd met het oog op de miniaturisering van elektronische apparatuur. In wezen zijn hybride microschakelingen micro-assemblages. De eenvoudigste microassemblage kan bijvoorbeeld een set microweerstanden zijn die op een halfgeleiderkristal zijn gemaakt en in een enkel pakket zijn gerangschikt (zoals een microschakeling).

Microblok is ook een micro-elektronisch product, bestaat uit elektronische componenten en geïntegreerde schakelingen en vervult een complexe functie (functies).

In de regel worden microassemblages en microblokken vervaardigd in verschillende technologische cycli, en misschien in verschillende fabrieken.

Als classificatie technische kenmerken: algemeen gebruikt energieverbruik(één microschakeling) en snelehartinfarct.

Door energieverbruik alle IC's zijn onder te verdelen in: een) microOkrachtig(minder dan 10 mW); B) laag vermogen(niet meer dan 100 mW); v) gemiddeld vermogen(tot 500 mW) en G) krachtig(meer of = 0,5 W).

Door snelheid(maximale vertragingen van signaalvoortplanting via de IC) microschakelingen worden conventioneel onderverdeeld in: een) ultrasnel met afsnijfrequentie F schakelapparatuur meer dan 100 MHz; B) hoge snelheid ( F gr vanaf 50 MHz tot 100 MHz); v) normale snelheid ( F gr vanaf 10 MHz tot 50 MHz). In dit geval zijn de voortplantingsvertragingen in de orde van nanoseconden (10-9 Met.) tot 0,1 microseconde (1s = 10-6 Met.).

Digitale micro-elektronische apparaten, inclusief microschakelingen en andere discrete apparaten, het is handig om te classificeren Aan x een verslaving karakter uitgangssignalen van ingang. Zoals gebruikelijk in de theorie van eindige automaten. In overeenstemming met deze functie is het gebruikelijk om alle apparaten in te delen in: combinatorisch en sequentieel.

V combinatorische apparaten de waarden van de uitgangssignalen op elk moment in de tijd worden uniek bepaald door de waarden van de ingangssignalen op hetzelfde moment. Daarom kunnen we aannemen dat de werking van dergelijke apparaten niet afhankelijk is van tijd. Ze worden ook apparaten "zonder" genoemd geheugen», enkele cyclus apparaten of apparaten met één uiteinde. In de theorie van eindige automaten worden combinatorische apparaten "primitieve eindige automaten" genoemd.

V seriële apparaten de waarden van de uitgangssignalen (uitgangssignalen) zijn niet alleen afhankelijk van de waarden van de ingangssignalen op het beschouwde moment, maar ook van de waarden van de ingangssignalen op de voorgaande tijdstippen. Daarom worden dergelijke apparaten apparaten genoemd met " geheugen», multi-cyclus apparaten, maar in de theorie van eindige-toestandsmachines, simpelweg? staatsmachine(niet triviaal).

Bij het overwegen van het educatieve materiaal, in de toekomst, voor de belangrijkste we zullen dit accepteren classificatie, omdat bouwmethoden(synthese) en de werkingsprocessen van de genoemde apparaten significant anderseenzijn.

Ter afronding van de presentatie van classificatiekwesties, merken we op dat de gegeven lijst met classificatiekenmerken en de lijst met namen van micro-elektronische producten (microschakelingen) verre van volledig zijn. In de toekomst zullen we deze lijst waar nodig aanvullen.

1.3. Logische poorten

Logische poorten verwijzen naar de eenvoudigste combinatie "apparaten" met één uitgang en één of twee ingangen. Ze hebben hun naam gekregen omdat hun functioneren volledig kan worden beschreven. logische functies en in het bijzonder booleaanse functies.

Net als in de formele logica kunnen alle instructies waar of onwaar zijn en kunnen logische functies slechts twee voorwaardelijke waarden aannemen: logische eenheid (log.1) "true" en logische nul (log.0) "false".

Bij het beschrijven van de werking van logische elementen uitgangssignalen: in een één-op-één correspondentie functies, een ingangssignalen: argumenten deze functies. Dus zowel functies als functieargumenten, evenals ingangs- en uitgangssignalen van logische poorten zijn binair. Als we de werkelijke tijd van de overgang van een logisch element van de ene toestand (toestand log.1) naar de andere (toestand log.0) verwaarlozen, dan zullen noch de argumenten, noch de functie afhangen van de factor tijd van de variabele tijd. De regels voor het verkrijgen en transformeren van logische uitdrukkingen worden beschouwd algebra van logica of booleaans algebra.

Basis logische functies in de algebra van de logica is het gebruikelijk om te overwegen: functies van twee argumenten. Ze hebben namen gekregen, logische symbolen zijn geïntroduceerd om de overeenkomstige logische bewerkingen aan te duiden wanneer ze in algebraïsche vorm zijn geschreven, en deze symbolen worden ook gebruikt in conventionele grafische symbolen (UGO) van logische elementen in circuitdocumentatie.

Voordat we direct de soorten logische elementen in overweging nemen, laten we eerst de algemene kwestie bekijken van het aanduidingssysteem van microschakelingen die logische elementen bevatten. Dergelijke microschakelingen behoren tot: microchipsemoeders met weinig integratie.

1.3.1. Het systeem van conventionele alfanumerieke aanduidingen van de IC van logische elementen

In de binnenlandse technische literatuur, evenals bij het markeren van IC's van binnenlandse productie, tijdens hun fabricage in fabrieken, wordt een 4-elementvorm van aanduidingen voor microschakelingen aangenomen (Figuur 1.1).

De eerste element in de aanduiding is nummer , die de groep van constructieve en technologische prestaties van de IS aangeeft. Dit cijfer kan de volgende waarden aannemen:

1, 5, 6, 7 komen overeen met halfgeleider-IC's. Bovendien wordt het cijfer 7 gebruikt om alleen onverpakte IC's aan te duiden;

2, 4, 8 zijn hybride microschakelingen;

3 andere microschakelingen, inclusief film.

Het eerste element van de aanduiding kan worden voorafgegaan door een letter of twee letters (van het Russische alfabet), ze zijn niet vereist, maar ze geven het type en het materiaal van de behuizing van de microschakeling aan en de toepassingsmogelijkheden ervan. Bijvoorbeeld de letter NAAR duiden microschakelingen aan brede toepassing: v plastic geval van het eerste type. Er zijn microschakelingen voor speciale toepassingen, bijvoorbeeld voor apparaten die in tropische klimaten werken.

Seconde element 2 of 3 cijfers, ze geven een rangtelwoord aan Kamer serie microschakelingen. De hele reeks microschakelingen die door de binnenlandse industrie wordt geproduceerd, is onderverdeeld in: serie. Serie Een IC is een reeks IC's met een enkele structurele en technologische prestatie die verschillende functies vervullen en bedoeld zijn voor gezamenlijk gebruik.

De derde element in de aanduiding zijn twee Russen brieven, waarvan de eerste een subgroep van IC's per functioneel doel aangeeft, en de tweede letter komt overeen met het type IC, ook in termen van het functionele doel van de microschakeling. Bijvoorbeeld de eerste letter L"Zegt" dat dit een IC is van logische elementen (subgroep logica's), tweede letter EEN komt overeen met logische elementen van het formulier NAND NIET... Tabel 1.2 toont de meest voorkomende lettercodes van de typen IP volgens de uitgevoerde functies.

En tot slot, de 4e e-mailepolitieagent in de aanduidingen van microschakelingen zijn een of twee nummers , ter aanduiding van het voorwaardelijke nummer van de microschakeling in de serie in kwestie. Het voorbeeld van de aanduiding in Fig. 1.1 komt dus overeen met de aanduiding van een halfgeleidermicroschakeling van de K155-serie, wijdverbreid, in een type 1 plastic behuizing. Het bevat 4 logische poorten met twee ingangen van het type NAND (2I-NOT).

Gewoonlijk "codeert" het vierde element in de aanduiding van het IMS het serienummer van de wijziging van elementen van hetzelfde type, verschillend in het aantal ingangen en de methode voor het "organiseren" van de uitvoer.

Naast de genoemde aanduidingen, volgens GOST 2.743-91 "Conventionele grafische aanduidingen in elektrische circuits. Elementen van digitale technologie ", andere tweeletterige codes worden gebruikt om het functionele doel van microschakelingen aan te duiden, bijvoorbeeld: ID-decoders-demultiplexers, decoders, IR-registers, CP-schakelaars van discrete signalen enzovoort. In het bijzonder komt de letter En overeen met een subgroep van microschakelingen die worden gebruikt om digitale computerapparatuur te bouwen.

Verschillende series IC's verschillen in het aantal microschakelingen en hun nomenclatuur (standaardwaarden). Type nominaal IC is een specifiek symbool met basisinformatie over een microschakeling. In het proces van technologische ontwikkeling kan het aantal standaard IC's van een bepaalde serie toenemen.

Van de reeksen microschakelingen zijn de meest functioneel ontwikkelde de IC's van transistor-transistorlogica (TTL en TTLsh). Deze series worden gekenmerkt door een breed scala aan IC's, daarom zal de presentatie van het educatieve materiaal voornamelijk worden geïllustreerd met voorbeelden van deze microschakelingen.

De bovengenoemde GOST bevat ook conventionele grafische aanduidingen van logische elementen en biedt regels voor de vorming van UGO van meer complexe logische elementen en modules. Daarom moet u zich allereerst vertrouwd maken met de gespecificeerde GOST.

Tabel 1.2

Aanwijzing

Elementen NAND

Elementen EN-NIET / OF-NIET

OF-uitbreidingen

Elementen OF NIET

elementen en

Elem. EN-OF-NIET / EN-OF

OF-elementen

Elementen OF NIET / OF

Artikelen NIET

andere elementen

Elementen EN-OF-NIET

EN-OF elementen

1.3.2. Booleaanse algebra gebruiken om te beschrijven

logische poorten en snortzwermen

Zoals hierboven vermeld, is de werking van logische elementen NT's kunnen worden beschreven door logische (booleaanse) functies. Op hun beurt kunnen logische functies worden gedefinieerd (ingesteld) door alle voorwaarden op te sommen waaronder de functie de waarde log.1, d.w.z. door de voorwaarden van waarheid, en door de voorwaarden van onwaarheid (waarde log.0). Evenzo, rekening houdend met de werking van een logisch (elk) element, is het mogelijk om alle omstandigheden op te sommen waaronder een log.1-signaal aan de uitgang verschijnt, of omstandigheden wanneer een log.0-signaal verschijnt aan de uitgang van het element. Dit is dualiteit principe(dualiteit) in beschrijving logische apparaten.

In de technologie wordt bij het beschrijven van de werking van verschillende apparaten het concept "actief", in tegenstelling tot de "inactieve" betekenis van elk signaal, veel gebruikt. Bovendien, onder actief De waarde (niveau) van een signaal is een actie die de gewenste actie veroorzaakt aan de uitgang van het apparaat, of met andere woorden, het apparaat heeft actieve acties op externe apparaten. Integendeel, inactieve acties hebben een passief effect op externe apparaten. Dus, logisch gezien, richten ze zich meestal op de waarheid van uitspraken, daarom moet de waarheid van uitspraken standaard als hun actieve waarde worden beschouwd. Evenzo kan men zich bij het beschrijven van technische apparaten concentreren op de voorwaarden van hun "werking" of op de voorwaarden van "niet-gebruik".

De overeenkomsten waarin de signaallog.1 als actief wordt beschouwd, worden overeenkomsten genoemd " positief» logica... Omgekeerd, wanneer de actieve waarde is ingesteld op een niveau van 0, worden dergelijke overeenkomsten overeenkomsten genoemd " negatief» logica... In de regel wordt voor het log.1-signaal het "hogere" niveau genomen en voor het log.0-signaal het "lage" niveau van de signalen. Bij gebruik van de TTL IC wordt het log.1-signaal bijvoorbeeld beschouwd als een spanning van minimaal +2,4 v, en met een signaal van logisch 0, is de spanning groter dan nul, maar niet meer dan 0,4 V... Dit zijn de standaard signaalniveaus in TTL-apparaten.

Beschrijvingen opgesteld in het kader van overeenkomsten positieve logica en onder overeenkomsten negatieve logica, logisch equivalent, omdat ze hetzelfde apparaat beschrijven. maar complexiteit technisch echtengelegenheden logische apparaten kan, afhankelijk van de gekozen overeenkomst, significant anders... Daarom is er altijd een probleem bij het kiezen van een beschrijvingsmethode om de eenvoudigste technische oplossing te verkrijgen.

Zoals reeds vermeld, zijn de belangrijkste functies van de algebra van logica: functies van twee variabelen... Je kunt deze functies puur formeel samenstellen, de argumenten allerlei betekenissen geven (combinaties van hun waarden) en vervolgens allerlei betekenissen aan de functies toekennen. Aangezien zowel argumenten als functies slechts twee waarden kunnen aannemen, is het niet moeilijk om het aantal combinaties van argumenten en het aantal van alle mogelijke functies te bepalen. Laat het aantal argumenten zijn N, en het aantal van hun combinaties N, dan

N = 2N. (1.1)

Het aantal van alle mogelijke logische functies kan dan worden berekend met de formule

m = 2N = . (1.2)

Zoals blijkt uit formule (1.2), groeit het aantal Booleaanse (logische) functies snel met een toename van het aantal argumenten N... Dus voor N= 2 we krijgen N= 22 = 4, en m= 24 = 16, d.w.z. zestien logische functies uit twee argumenten.

Tafel 1.3 toont de namen en aanduidingen van functies, hun waarden op een bepaalde set argumentwaarden een en B, evenals algebraïsche uitdrukkingen van deze functies in disjunctieve perfecte normaalvorm(DSNF) en conjunctieve perfecte normaalvorm(KNF).

Uit de analyse van deze tabel volgt dat er onder de verzameling gereduceerde functies is: constante functies"Nul" en "eenheid", "herhaling" en "inversie" functies (NIET functies) van ingangsvariabelen een en B die eigenlijk functies zijn een argument, en er zijn functies die sterk afhankelijk van van twee argumenten.

In de bovenstaande algebraïsche uitdrukkingen geeft het + (plus)teken de bewerking van logische optelling (disjunctie) aan, geeft de regel boven een variabele of boven een logische uitdrukking de inversiebewerking aan en zijn de symbolen van logische vermenigvuldiging (product) weggelaten.

Tabel 1.3

Logische functies van twee argumenten

P / p Nr.

Functienaam:

Functiewaarden met argumentwaarden

Aanwijzing

Algebraïsche vormen van functies

Nul

Ban B

eenB

tegen knooppunt (ik)

een&B of

ab

Herhalen nenie een

Ban een

Been

ongelijke betekenis

eenB

Herhalen nenie B

Diz functie (OF-functie)

een+B

een+B

Doorboren (OF NIET)

inversieB(NIET)

Gelijkwaardig betekenis

Imp icatie B

Been

inversieeen

Schäffer (NAND)

Imp icatie een

eenB

Verenigen privaat

Constante functies drukken in feite de onafhankelijkheid van argumenten uit en tegelijkertijd kunnen ze worden beschouwd als "functies" van een groot aantal argumenten. Opmerking, nul de functie heeft geen DSNF, aangezien deze nooit de waarde log.1, maar enkel de functie heeft geen KSNF, omdat deze nooit de waarde 0 aanneemt. Hieruit volgt dat de SDSF overeenkomt met de omschrijving(toewijzen) logische functies door waarheidsvoorwaarden(volgens log 1), en KSNF volgens de voorwaarden van onwaarheid(volgens logische 0). Elke logische functie, behalve constante functies, heeft zowel DSNF als KSNF. Dit komt overeen met het feit dat elk logisch apparaat (hoe ingewikkeld het ook is) kan worden beschreven in termen van triggervoorwaarden en in termen van niet-triggerende voorwaarden.

De waarden van de functies "herhaling" en "inversie" (V3, V6, V9, V12) herhalen ofwel de waarden van een van de argumenten, of nemen tegengestelde (inverse) waarden. Daarom kregen ze zulke namen.

Inversiefuncties meestal aangeduid als NIET-functies. Deze functies worden geïmplementeerd door GEEN logische poorten (of inverters). Herhaalfuncties worden uitgevoerd door repeaters. Het is gebruikelijk om te zeggen dat de functies van inversie en herhaling " irrelevant»Afhankelijk van het tweede argument, hoewel ze kunnen worden weergegeven als functies van twee, drie of meer argumenten.

In de technologie zijn de functies "Ongelijkheden" en "Equivalenties" beter bekend als respectievelijk "som mod 2 (mod 2)" en "som inversie mod 2". De Schaeffer- en Peirce-functies staan ​​respectievelijk bekend als "logische productinversie" (NAND-functies) en "logische sominversie" (OR-NOT). Deze functies worden geïmplementeerd door logische elementen met dezelfde naam.

In Booleaanse algebra en verder in logische uitdrukkingen is het gebruikelijk om functies hoofdletters Latijns alfabet, en argumenten functies kleine letters(klein) brieven van hetzelfde alfabet.

1.3.3. Methoden en vormen voor het instellen van logische functies

Bij het beschrijven van logische apparaten blijkt dat de methode voor het specificeren (definiëren) van logische functies en de vorm van hun presentatie de moeilijkheid om het eindresultaat te bereiken aanzienlijk beïnvloedt. Afhankelijk van het gestelde doel, kunnen de methoden van instelling en de vorm van presentatie van functies verschillen. Bij het construeren van logische apparaten op een programmeerbaar alleen-lezen geheugen (EPROM), zijn algebraïsche vormen van logische functies bijvoorbeeld ongewenst en niet aan te raden. Bij het construeren van apparaten op microschakelingen met een lage integratiegraad, op IC's van logische elementen, zijn echter minimale algebraïsche vormen van logische functies vereist, omdat anders de minimale hardwarekosten niet kunnen worden gegarandeerd. De keuze van de instellingsmethode hangt dus af van het doel van het beschrijven van de apparaten.

Onderscheiden tabelvorm, Matrix, grafisch en analytisch manieren van toewijzing.

Bij tabelvorm de taak maakt gebruik van de zogenaamde " tafels enMetmodderigheid»Logische functies, waarin de waarden van functies worden aangegeven op de hele reeks combinaties van hun argumenten. Het aantal kolommen in de waarheidstabel wordt dus bepaald door het aantal argumenten en het aantal functies, en het aantal rijen wordt bepaald door formule (1.1). Waarheidstabellen worden gebruikt voor algemene kennismaking met de werking van combinatorische apparaten, wanneer het aantal ingangen (functieargumenten) en het aantal uitgangen (aantal functies) niet groter is dan 4. Waarheidstabellen worden omslachtig met meer argumenten en zijn daarom niet erg nuttig voor analyse. Volgens waarheidstabellen is het vrij eenvoudig om algebraïsche vormen van functies te vinden in DSNF of in KSNF, maar ze zijn niet geschikt voor het vinden van minimale algebraïsche vormen.

Matrix manier van instellen (of instellen van functies met behulp van) buleuit matrices) is gebaseerd op de grafische weergave van de hele reeks combinaties van functieargumenten op het "vlak" (in tweedimensionale ruimte). Het concept van "Booleaanse matrices" werd geïntroduceerd door A.D. Zakrevsky, hij werd ook aangeboden visuele matrix methode om logische functies te minimaliseren. In buitenlandse literatuur staat deze methode voor het instellen en minimaliseren van logische functies bekend als de "methode voor het instellen en minimaliseren met behulp van Karnot-kaarten". (Verwar het concept van "matrices" dat in de wiskunde wordt gebruikt niet met het concept van "Booleaanse matrices"). Samen met het concept booleaans matrentsa in wat volgt zullen we het concept gebruiken Karnot-kaart aangezien concepten synoniemen zijn.

Een Booleaanse matrix is ​​een rechthoek met een aspectverhouding van 1: 2 (voor een oneven aantal functieargumenten) of een vierkant (voor een even aantal argumenten), verdeeld in elementaire vierkanten (cellen). Het aantal cellen in een matrix is ​​altijd een veelvoud van een macht van twee en wordt bepaald door formule (1.1). Het aantal elementaire kwadraten is dus gelijk aan de volledige set combinaties bestaande uit functieargumenten. Rechtsboven en linkerkant matrices met vierkante haken of een ononderbroken rechte lijn markeren de gebieden met eenheidswaarden van de argumenten (Fig. 1.2). Bovendien zijn deze haakjes gemarkeerd met identifiers van argumenten, die onder de haakjes of rechts (onder) van de haakjes worden geplaatst. Er wordt conventioneel aangenomen dat het gebied dat wordt begrensd door de haakjes het waardenbereik van het argument is, en buiten dit bereik heeft het argument een nulwaarde. Zo wordt de gemarkeerde Karnot-kaart als het ware "gecodeerd" door combinaties van argumenten. In dit geval komt elke cel overeen met een zeer specifieke combinatie van functieargumenten. De kaart zelf is gemarkeerd functie-ID aan de onderkant of rechts.

Om een ​​functie op de kaart in te stellen, moet u de waarden van deze functie (0 of 1, of ~) in de overeenkomstige cellen plaatsen.

Dus, figuur 1.2 toont Karnot-kaarten voor functies van 4, 5 en 6 argumenten.

In het bijzonder zijn de X- en Y-functies volledig gedefinieerd en is de Z-functie onderbepaald, aangezien de cellen samen met de vaste waarden 1 en 0 "voorwaardelijke" waarden tonen die zijn gemarkeerd met een ~ (typografische tilde-symbool). Voorwaardelijk de waarden van logische functies worden gebruikt in gevallen waarin specifieke waarden (0 of 1) niet vooraf kunnen worden bepaald. Dergelijke gevallen doen zich bijvoorbeeld voor wanneer apparaten worden gesynthetiseerd volgens onvolledig gespecificeerde voorwaarden, of wanneer combinaties van argumenten die overeenkomen met cellen met een ~-symbool om de een of andere reden niet kunnen optreden. Tijdens het vinden van de minimale logische uitdrukkingen van onderbepaalde functies, worden deze voorwaardelijke waarden opnieuw gedefinieerd met de waarden 1 of 0, in een poging de eenvoudigste algebraïsche uitdrukkingen te verkrijgen.

In principe is de matrixvorm voor het specificeren van logische functies handiger voor het vinden van de minimale algebraïsche vormen van functies tot 10 (of meer) argumenten. De volgorde van het construeren van een Karnot-kaart voor functies van een groot aantal argumenten kan worden begrepen door Fig. 1.2 te vergelijken, een met figuren 1.2, B en v.

Grafisch de manier om logische functies in te stellen is gebaseerd op het gebruik N-dimensionale kubussen. De afmeting van de kubus wordt bepaald door het getal N argumenten van een functie, bijvoorbeeld een functie van drie argumenten kan worden gespecificeerd door een driedimensionale kubus, waarvan elk hoekpunt overeenkomt met een bepaalde combinatie van argumenten. Om een ​​functie te definiëren met behulp van een driedimensionale kubus, worden de hoekpunten van de kubus op de juiste manier gelabeld. Deze methode is niet wijdverbreid gebruikt en we zullen deze ook niet gebruiken.

Analytisch de manier om functies te definiëren wordt het meest gebruikt voor het vinden van functionele diagrammen gesynthetiseerde apparaten. Dankzij conventionele grafische aanduidingen (UGO) van logische elementen is het mogelijk om rechtstreeks van een algebraïsche uitdrukking naar een functioneel diagram te gaan en omgekeerd, volgens een functioneel diagram, een algebraïsche uitdrukking te verkrijgen van een functie die het uitgangssignaal van een apparaat. Bovendien kan men met behulp van de wetten en consequenties van de algebra van de logica equivalente transformaties van logische uitdrukkingen uitvoeren en daardoor nieuwe versies van functionele circuits verkrijgen.

In Booleaanse algebra worden verschillende soorten algebraïsche vormen van functies onderscheiden, met name in tabel 1.3 werden twee vormen van DSNF en KSNF gegeven. De eerste wordt verkregen wanneer de functie wordt bepaald door de waarheidscondities (door 1), en de tweede wanneer de functie wordt bepaald door de "nullen".

Bijvoorbeeld, de functie X, gegeven door de kaart in Fig. 1.2, een, zal de volgende perfecte vormen hebben:

Zoals te zien is in figuur 1.2, een, evenals uit de uitdrukkingen (1.3) en (1.4), volgt dat de functie de waarde "1" aanneemt als een oneven aantal argumenten de waarde log.1 heeft, anders de waarde "0". Dergelijke functies worden geïmplementeerd door "oneven / even" circuits of "sum mod2" logische poorten. Als we de notatie gebruiken voor de som mod2 (de ongelijkheidsfunctie V5 in Tabel 1.3), dan kunnen we schrijven

x = een B C D. (1.5)

Deze uitdrukking is korter en komt overeen met uitdrukking (1.3). Let op (Figuur 1.2, een), komt de mod2-somfunctie en zijn inverse overeen met het "dambordpatroon" op de Karnot-kaart. Dit kan in de toekomst worden gebruikt bij het zoeken naar andere algebraïsche vormen van logische functies. Trouwens, deze functies hebben geen normale minimum disjunctieve en conjunctieve vormen van MDNF en MKNF.

Beschouw de veelgebruikte IC's van logische elementen, terwijl we verschillende vormen zullen gebruiken om de logische functies te beschrijven die door deze elementen worden geïmplementeerd.

1.3.4. Logische poorten NIET

Dit zijn de eenvoudigste elementen met één ingang en één uitgang. Dergelijke elementen worden beschreven door de logische functie van negatie, inversie en worden gewoon NIET-functies genoemd. Afbeelding 1.3 toont de UGO-elementen die NIET door GOST worden aanbevolen. Zoals u kunt zien, kan de inversiewijzer zowel aan de uitgang als aan de ingang van een logisch element worden ingesteld. Volgens GOST is het mogelijk om het label van de hoofdfunctie "1" niet in het hoofdveld van de UGO te plaatsen.

De algebraïsche uitdrukking voor de inversiefunctie is

x =

en leest "niet een". Het uitgangssignaal van het element neemt NIET altijd de tegenovergestelde waarde aan ten opzichte van de waarden van het ingangssignaal. Er zijn verschillende soorten IC-logische elementen, die verschillen in de manier waarop ze de uitvoer organiseren. In het IC van de K155-serie zijn er bijvoorbeeld K155LN1-microschakelingen, die 4 logische elementen bevatten die NIET met een standaard laadvermogen zijn. Er zijn GEEN elementen met een verhoogde laadcapaciteit, maar ze worden allemaal beschreven door dezelfde logische uitdrukking.

Logische elementen "repeaters" hebben ook één ingang en één uitgang, maar het uitgangssignaal herhaalt de waarde van het ingangssignaal. Dergelijke elementen worden gebruikt om de uitgangen van logische elementen te "ontkoppelen" en om hun belastingscapaciteit te vergroten.

1.3.5. Logische elementen AND

Deze elementen implementeren de functie van logische vermenigvuldiging (conjunctie). Functies zijn minimaal dubbel of meervoudig en worden beschreven door de volgende logische uitdrukkingen:

x = een&B = eenB = een· B = ab. (1.6)

Voegwoorden & en mogen vervangen worden door een punt, of helemaal niet. Elementuitgang EN neemt alleen de waarde van logisch 1 aan als alle ingangssignalen de waarde van logisch 1 aannemen. Afbeelding 1.4 toont conventionele grafische symbolen en Karnot-kaarten voor twee invoer (Figuur 1.4, een en B) en drie ingangen (Fig. 1.4, v en G) logisch element EN.

Figuur 1.4. Voorwaardelijke grafische aanduidingen van elementen EN: twee-invoer ( een),

drie-ingang ( v), kaarten van de logische functies van Karnaugh 2И ( B) en 3I ( G)

Zoals uit de bovenstaande Booleaanse matrices blijkt, is de conjunctie gelijk aan log.1 alleen in het enige geval dat alle argumenten en de eerste, en seconde, en derde en enzovoort. neem tegelijkertijd de waarde logisch aan. Daarom worden dergelijke elementen genoemd overeenkomende schema's, de naam "conjunctors" komt minder vaak voor, en de functies die hun functies beschrijven, soms door de functies van I. In de reeks IC's worden verschillende logische elementen geproduceerd EN, bijvoorbeeld, de K155LI1-microschakeling bevat 4 elementen 2I (twee-input). Het verschil zit in het verschillende aantal ingangen voor verschillende elementen.

Getoond in figuur 1.4, B en figuur 1.4, G matrices zijn geïllustreerd logische vermenigvuldigingsregels, en de getoonde UGO's komen overeen met: overeenkomstepositieve logica.

Vanwege de verplaatsings- en combinatiewetten die geldig zijn in Booleaanse algebra, ingangen logische elementen met meerdere invoer EN zijn logisch equivalent, en het logische element met meerdere invoer EN kan worden verkregen uit verschillende elementen met twee invoer EN... Dus in figuur 1.5 zie je

we hebben twee opties om een ​​logisch element te construeren EN met zes ingangen (6I) op elementen met twee ingangen EN(2ik).

Alle circuits getoond in Fig. 1.5 zijn logisch equivalent en zijn op hun beurt equivalent aan de conventionele grafische aanduiding van een logische poort met 6 ingangen EN(Figuur 1.5, v). Tegelijkertijd worden schema's beschreven door logische uitdrukkingen die verschillen in de vorm van notatie:

x = ((((een· BCDkm? schema afb. 1.5, een; (1.7)

ja = ((ab) (Cd)) ( km)? schema afb. 1.5, B; (1.8)

en het symbool voor element 6I komt overeen met de volgende uitdrukking:

Z = abcdkm. (1.9)

Hoewel, in overeenstemming met de bovenstaande wetten van de Booleaanse algebra, het logische product niet verandert door de verandering van de plaatsen van de factoren en de haakjes in de uitdrukkingen van het logische product kunnen worden weggelaten, toch kunnen uitdrukkingen (1.7), (1.8 ) en (1.9) bevatten informatie over: manieren van bouwen schema's... Deze uitdrukkingen kunnen dus worden beschouwd als "logische en wiskundige modellen" van de gegeven schema's, inclusief de UGO van element 6I.

Opgemerkt moet worden dat bij het beschrijven van logische combinatorische apparaten met behulp van booleaanse uitdrukkingen, in de regel de tijdsfactor wordt geabstraheerd. Deze beschrijving komt overeen met de beschrijving van statische apparaten met stabiele waarden van ingangssignalen (en variabelen). Er wordt aangenomen dat de verandering in ingangs- en uitgangssignalen onmiddellijk plaatsvindt, op dezelfde manier veranderen de waarden van de argumenten en de waarden van de logische functies zelf. Tegelijkertijd hebben echte elementen een eindige overgangstijd van de ene toestand naar de andere, of, zoals ze zeggen, een eindige (niet gelijk aan nul) voortplantingstijd van signalen van de ingangen naar de uitgang van een element of apparaat. Dat gezegd hebbende, verdient het schema in figuur 1.5 de voorkeur. B, waarbij de voortplantingstijd van signalen van de ingangen gemarkeerd door de functieargumenten naar de circuituitgang gemiddeld korter is. De bron bevat informatie over tijdelijke logische functies die kunnen worden gebruikt om circuits met tijdvertragingen te beschrijven.

1.3.6. Logische poorten OF

OR wordt gerealiseerd door logische elementen logische som meerdere binaire signalen (en ingangsvariabelen). De functie die dergelijke elementen beschrijft, heet disjunctie of functie logische complexiteiteniya... Figuur 1.6 toont de conventionele aanduidingen (UGO) van OR-elementen en Karnot-kaarten die hun functies beschrijven.

Algebraïsche uitdrukking van logische som van twee variabelen een en B is als volgt geschreven:

x = een B = een + B. (1.10)

In Booleaanse algebra wordt het symbool gebruikt om disjunctie aan te duiden. In zijn technische toepassingen wordt meestal het +-teken (rekenkundige optelling) gebruikt, maar alleen als dit niet leidt tot foutief schrijven van formules en logische uitdrukkingen. (Meestal zal dit teken in wat volgt worden gebruikt om disjunctie aan te duiden.)

Zoals u kunt zien op de kaarten in Fig. 1.6, B en figuur 1.6, G, neemt de logische optelfunctie alleen de waarde van logische 0 aan in het enige geval dat alle argumenten gelijk zijn aan de waarde van logische 0. Het heeft een waarde van logische 1, als het eerste argument of seconde, of derde, enz., of alle argumenten samen hebben de waarde logisch. Daarom wordt deze functie de OF-functie genoemd.

Naast de combinatie van verschillende variabelen, zijn ook de disjunctie- en combinatiewetten van de Booleaanse algebra van toepassing op de disjunctie. En het gevolg hiervan is de logische equivalentie van de inputs van de logische elementen OR, evenals de mogelijkheid om OR-elementen met meerdere inputs te construeren uit gelijkaardige elementen, maar met een kleiner aantal inputs. Als in Fig. 1.5 alle AND-elementen worden vervangen door OR-elementen met twee ingangen (2OR), dan zijn alle conclusies met betrekking tot de circuits in Fig. 1.5 geldig voor de circuits die door een dergelijke vervanging worden verkregen. Je kunt ook de logisch-wiskundige modellen voor de verkregen circuits en de UGO van element 6OR opschrijven, waarbij je in de uitdrukkingen (1.7), (1.8) en (1.9) alle symbolen van logische vermenigvuldiging vervangt door + (disjunctie)tekens.

In verschillende reeksen IC's zijn er OF-poorten. In de TTL-serie is dit bijvoorbeeld de K155LL1-microschakeling, deze bevat 4 elementen 2 OF.

1.3.7. Logische elementen NAND

Deze elementen implementeren inversie van logisch product ingangssignalen. Met andere woorden, NAND-elementen worden beschreven door de functie "conjunctie-negatie". In de Booleaanse algebra worden dergelijke functies de functies van Schaeffer genoemd; een speciaal symbool “? ", De Schaeffer-slag genoemd. Om het lezen te vergemakkelijken, gebruiken we het inversiesymbool (balk hierboven) om de functies van Schaeffer boven de combinatie van variabelen aan te duiden. De algebraïsche vorm van de Scheffer-functie van twee argumenten is bijvoorbeeld als volgt:

x = een / B = = . (1.11)

In uitdrukking (1.11) komen de gelijktekens overeen met de logische identiteit van de uitdrukkingen, en de rechterkant van de uitdrukking komt overeen met de CSNF van de NAND-functie (functie V13 in tabel 1.3). In het algemeen luidt de uitdrukking als volgt: “ de inverse van het logische product is gelijk aan de logische som van de inverse van de argumenten". Deze uitspraak staat in de Booleaanse algebra bekend als de wet van Morgan relatief logische productinversies(inversie van conjunctie) . Figuur 1.7 toont de conventionele grafische aanduidingen van het 2I-NOT-element, het functionele equivalente circuit en de Karnot-kaart voor de betreffende functie. Als we de Karnaugh-kaarten van de AND-functies en de AND-NOT-functies vergelijken, is het gemakkelijk te zien dat de cellen tegengestelde waarden van de genoemde functies bevatten. Als we kaarten vergelijken met algebraïsche uitdrukkingen van de AND-functie en de AND-NOT-functie, kunnen de volgende conclusies worden getrokken:

Elk eenheid in de matrixcel staan, komt overeen met logisch het werk(voegwoord) alle argumenten functies; eenmaal ingenomen met of zonder een inversieteken. Als een cel met één zich in het gebied bevindt enkele argumentwaarden dan dit argument komt binnen in combinatie geen inversie nul waardeneenargumenten dan dit argument komt binnen met een teken enNversies.

aan elk nul in de matrixcel staan, komt overeen met het logboekende hoeveelheid geld(disjunctie) van alle functieargumenten, eenmaal genomen met een ondertekende of niet-ondertekende inversie. Als een cel met nul zich in het gebied bevindt enkele argumentwaarden dan dit argument komt binnen in disjunctie met een inversieteken... Als de kooi zich op het gebied bevindt nul argumentwaarden dan dit argument voert niet-ondertekende inve inRdeze.

Deze conclusies zijn in de aard van de regels voor het vinden van de DSNF (eerste conclusie) en KSNF (tweede conclusie) uit Booleaanse matrices van logische functies. Er moet alleen worden toegevoegd dat voor vinden DSNF functies hebben deze nodig e-mailementale voegwoorden"Verbinden" met symbolen disjuncties(plus), en bij KSNF . vinden functies elementaire disjuncten moet worden verbonden door symbolen voegwoorden.

Onder elementaire conjunctie logische functies begrijpen ikOhet logische product van alle functieargumenten, eenmaal genomen met een ondertekende of niet-ondertekende inversie.

Onder elementaire disjunctie logische functies begrijpen ikOde logische som van alle functieargumenten, eenmaal genomen, al dan niet ondertekend.eenka inversies.

In een reeks microschakelingen zijn er NAND-elementen, die verschillen in het aantal ingangen, het aantal elementen in één microschakeling en de manier waarop de uitvoer wordt georganiseerd. Zo bevat de microschakeling K155LA3 4 2I-NOT-elementen met een standaard laadvermogen. De K155LA8-microschakeling bevat één 8I-NOT-element met een verhoogde laadcapaciteit (deze is gelijk aan 30 en de standaard laadcapaciteit is 10).

Element 2I-NOT is de basis voor transistor-transistor logische (TTL) microschakelingen, d.w.z. dit element is de basis voor de constructie van alle genoemde microschakelingen, inclusief TTLsh-microschakelingen.

1.3.8. Elementen OF NIET

Functies die het element 2OR-NOT beschrijven, worden in de Booleaanse algebra Peirce-functies genoemd, waarvoor een speciaal symbool (de pijl van Peirce) is geïntroduceerd. In technische toepassingen worden deze functies "logische som (disjunctie) inversie" of gewoon OR-NOT-functies genoemd. In het bijzonder heeft de Peirce-functie met twee plaatsen, de 2OR-NOT-functie, de volgende algebraïsche uitdrukkingen:

Z = een B = = . (1.12)

In wat volgt, worden deze functies aangeduid met het inversiesymbool boven de logische somuitdrukking. De rechterkant van uitdrukking (1.12) komt overeen met de uitspraak dat “ logische som inversie is tegelijkertijd logisch product van termen meegenomen van tegenovergestelde inversiesymbolen". Deze verklaring is de tweede de wet van Morgan over de inversie van disjunctie. Volgens de uitdrukking (1.12) kan het element 2OR-NOT worden weergegeven door conventionele grafische symbolen met positieve logische conventies, negatieve logische conventies en een functioneel equivalent circuit (Fig. 1.8).

In de integrale versie worden de logische elementen OR-NOT geproduceerd met een verschillend aantal ingangen. Een voorbeeld is de K155LE1-microschakeling met 4 logische elementen 2IL-NOT, of K155LE3 met twee 4OR-NOT-elementen. Zoals voor OR-elementen, dus voor OR-NOT-elementen, zijn alle ingangen logisch equivalent.

1.3.9. Elementen "VERBODEN"

Deze elementen met twee ingangen hebben deze naam gekregen omdat het signaal op een van de ingangen de doorgang naar de uitgang van het element van het signaal dat op de tweede ingang wordt toegepast, "verbiedt" of "toestaat". Daarom wordt één invoer de verbodsinvoer genoemd, deze is omgekeerd en de tweede invoer wordt "informatie" genoemd. De waarden van het uitgangssignaal vallen samen met de waarden van het ingangsinformatiesignaal in de ingeschakelde toestand, en in de uitgeschakelde toestand heeft het uitgangssignaal een waarde van logisch 0, ongeacht de waarde van het signaal bij de informatie-ingang. Tabel 1.3 toont twee blokkeerfuncties V1 (blokkeer B) en functie V4 (verbod) een). In afb. 1.9 toont de UGO van het element "verbod" een"(Verbod op een), een algebraïsche uitdrukking en een Karnot-kaart van een functie met dezelfde naam en een functioneel equivalent circuit van een element.

Bij een= 0 functiewaarden Z overeenkomen met de waarde van het argument B.

Als een= 1 (blokkeerstatus), de uitgang van het element zal altijd een logisch 0-signaal hebben. Dus de invoer een is de inhibit input, en de input B informatief. Het is duidelijk dat dezelfde UGO overeenkomt met het element "ban B»Enkel entree B zal omgekeerd zijn en de invoer een direct zal zijn. Evenzo, in de algebraïsche uitdrukking van een dergelijke functie, het argument B zal zijn met het inversieteken, maar het argument een zal binnenkomen zonder een inversieteken.

Opgemerkt moet worden dat de ingangen van de VERBODEN elementen logisch ongelijk zijn. Dit betekent op zijn beurt dat de signalen aan de ingangen niet kunnen worden verwisseld.

Logische elementen VERBODEN worden in integrale uitvoering geproduceerd, maar niet in alle series. Bijvoorbeeld in de K161-serie (op MOS-transistoren met R-kanaal) is er een K161LP2-microschakeling met 4 INHIBIT-elementen met een gemeenschappelijke blokkeringsingang. In figuur 1.9, een een conventionele grafische aanduiding (UGO), die overeenkomt met de overeenkomsten van positieve logica, wordt gegeven. Het is mogelijk om een ​​UGO samen te stellen met overeenkomsten van negatieve logica. Om dit te doen, over de rechterkant van de algebraïsche uitdrukking van de functie, is het noodzakelijk om het dubbele inversieteken te "nemen" en vervolgens één teken te openen volgens de wet van Morgan:

Dus, met de conventies van negatieve logica, zal de analoog van de UGO van het INHIBIT-element de UGO van het 2OR-NOT-element zijn, slechts één van de ingangen moet zijn uitgerust met een inversie-indicator.

1.3.10. Logische elementen "adders mod2" en

pariteitsregelingen/ eigenaardigheid

De logische functie V5 "ongelijkheid" (Tabel 1.3) krijgt alleen de waarde van log.1 wanneer oneven aantal argumenten aanvaarden zneenlogboek lezen 1... Aangezien functies en argumenten slechts twee waarden kunnen aannemen, is deze functie gelijk aan mod2-optelling op binaire getallen die binaire sets argumentwaarden vertegenwoordigen. Tussen de argumenten wordt een symbool gebruikt om deze bewerking aan te geven. Deze functies zijn ten minste tweezitter, maar ze kunnen ook meerzitter zijn, d.w.z. afhankelijk van meer argumenten.

Algebraïsche vormen van het schrijven van de functie van optellen mod2 uit twee argumenten zijn als volgt:

ja = een B = . (1.14)

De rechterkant van expressie (1.14) vertegenwoordigen respectievelijk DSNF en KSNF. In overeenstemming met deze vormen is het mogelijk om functionele equivalente schakelingen van een mod2-opteller met twee ingangen te construeren. Deze schema's, evenals de UGO aanbevolen door GOST, en de Booleaanse matrix van deze functie worden getoond in figuur 1.10.

Let op, in het diagram in figuur 1.10, een gebruikte UGO-elementen van verbod en element 2OR. In het diagram in figuur 1.10, v om de disjunctie van de inversies van de argumenten te implementeren, worden het 2I-NOT-element en bovendien de 2OR- en 2I-elementen gebruikt. Bovenstaande schema's laten nogmaals zien dat er meerdere functionele schema's voor een two-input adder mod2 kunnen worden samengesteld!

Hierboven, in figuur 1.2, een, als voorbeeld werd de Karnot-kaart van een optelfunctie met 4 plaatsen mod2 gegeven. Het kan worden geïmplementeerd door een mod2-adder met 4 ingangen met een conventionele grafische aanduiding vergelijkbaar met Fig. 1.10, G(er moeten 4 ingangen zijn) . Aangezien de mod2-som niet verandert door een verandering in de plaats van de termen, zijn alle ingangen van de mod2-optellers logisch equivalent. Let nog eens op! Dat als het aantal ingangssignalen dat de waarde van logische 1 aannam even is, het uitgangssignaal van de mod2-opteller gelijk zal zijn aan logische 0, d.w.z. een inactieve waarde heeft, is de pariteit "niet geschonden". Daarom worden dergelijke elementen "pariteitscontroleschema's" genoemd.

Let nu op de functie V 10 functie: logische ravbetekenissen, (Tabel 1.3). Het neemt tegengestelde waarden aan in vergelijking met de mod2-som, dat wil zeggen, het is het omgekeerde. Daarom zal de conventionele grafische aanduiding van het element dat het implementeert verschillen van Fig. 1.10, G alleen door de aanwezigheid van een inversiewijzer aan de uitgang van het element.

Met behulp van de algebraïsche uitdrukkingen van de twee-plaats-equivalentiefunctie (1.15), is het mogelijk om de functionele equivalente circuits van een opteller met twee ingangen mod2 met een inverse uitgang (2-NOT) te verkrijgen.

x = = = . (1.15)

De Karnaugh-kaart van deze functie zal verschillen van de kaart in Fig. 1.10, B het feit dat tegengestelde waarden in de cellen moeten worden gezet (vervang nullen door enen en enen door nullen). Het is niet moeilijk om de semantische betekenis van deze functie vast te stellen, aangezien de waarde log.1 voor een even getal en de waarde log.0 voor oneven het aantal enkele waarden van zijn argumenten. De schema's die het implementeerden, werden genoemd " oneven pariteitscircuits».

Logische elementen 2 worden geproduceerd in integraal ontwerp, de K155LP5-microschakeling bevat bijvoorbeeld 4 van dergelijke elementen.

Er zijn microschakelingen die de functie vervullen van een mod2 multi-input-opteller met directe en inverse outputs. De K155IP2-microschakeling is bijvoorbeeld een 8-bits circuit controleren wattness/ eigenaardigheid met directe en inverse uitgang en met twee stuuringangen. Zo'n microschakeling implementeert tegelijkertijd functie 8 en functie 8-NOT. De conventionele grafische aanduiding van deze microschakeling en de tabel die de bedrijfsmodi van de IC beschrijft, worden getoond in Fig. 1.11.

In tabel 1.4, in de kolommen van de waarden van de uitgangssignalen x en ja, worden de verkorte algebraïsche uitdrukkingen van de gelijknamige uitvoerfuncties gegeven. Uit deze uitdrukkingen volgt dat bij een combinatie van signalen op de stuuringangen v 1 = 0 en v 2 = 1 uitgang x de mod2 som van alle acht informatiesignalen wordt gerealiseerd. Tegelijkertijd uit ja de inversie van dit bedrag zal worden uitgevoerd. Bovendien blijkt uit de tabel dat bij combinaties van signalen aan de stuuringangen 0-0 of 1-1 de microschakeling in een "niet-werkende" toestand blijkt te zijn, wanneer de signalen aan beide uitgangen dezelfde waarden aannemen ongeacht de waarden van de ingangsinformatiesignalen.

1.3.11. Meerderheid logische poorten

Deze elementen worden beschreven door logische functies, die meer dan twee argumenten hebben en zijn oneven... Dienovereenkomstig is voor elk meerderheidselement het aantal ingangen altijd oneven... Het uitgangssignaal wordt actief wanneer: meeste ingangssignalen actieve waarden aannemen. Daarom worden dergelijke elementen geïmplementeerd " meerderheidsbeginseltwah»Bij het verwerken of ontvangen van signalen.

Laten we aannemen dat het logische niveau 1 wordt genomen als de actieve waarde van de ingangs- en uitgangssignalen. Dan is het meerderheidselement "2 uit 3" (met drie ingangen), het uitgangssignaal gelijk aan log.1, als twee (een) of alle drie ingangssignalen de waarde log.1 hebben.

Figuur 1.12 toont de UGO van een dergelijk element, de Karnot-kaart van de uitvoerfunctie en het functionele equivalente circuit.

Op functiekaart F je kunt de minimale disjunctieve normaalvorm (MDNF) vinden:

F = ab + bc + ac. (1.16)

Deze formule beschrijft direct het circuit in figuur 1.12, B... Zoals te zien is op de Karnot-kaart (Fig. 1.12, v), eenheden bevinden zich in cellen op de gebieden van eenheidswaarden voor twee en alle drie de argumenten. Naar analogie kan men een Karnot-kaart construeren voor het meerderheidselement "3 van de 5", de minimale algebraïsche uitdrukking van zijn uitvoerfunctie vinden en vervolgens een functioneel diagram construeren.

In de integrale versie zijn er meerderheidselementen, maar niet in alle reeksen. In de KR1533-serie is er bijvoorbeeld een KR1533LP3-microschakeling, die drie meerderheidselementen "2 van de 3" is met een omgekeerde gemeenschappelijke besturingsingang. Signaal log.0 aan de stuuringang maakt de uitvoering van meerderheidsfuncties mogelijk, en signaal log.1 verbiedt de implementatie ervan. Het functionele diagram van deze microschakeling en zijn UGO worden getoond in Fig. 1.13. Als we het functionele diagram van figuur 1.13 vergelijken, B met het diagram van het meerderheidselement in figuur 1.12, B, kunt u begrijpen hoe de besturing is georganiseerd en welke waarden de uitgangssignalen aannemen wanneer de signaallog.1 wordt toegepast op de besturingsingang (deze is op de UGO gemarkeerd met het label "E"). (Op de UGO en dus ook in het diagram in figuur 1.13, B de cijfers geven de pinnummers van de microschakeling aan.)

Er zijn meerderheidselementen met een inverse output, bijvoorbeeld microschakelingen 533LP3 en KR134LP3 bevatten elk drie van dergelijke elementen. In dit geval zal het "meerderheids"-principe worden geïmplementeerd met betrekking tot signalen van laag niveau (log.0-signalen). Er moet ook worden opgemerkt dat voor meerderheidselementen, evenals voor elementen AND-NOT en OR-NOT, alle ingangen logisch equivalent zijn, d.w.z. de volgorde waarin de ingangssignalen worden toegevoerd is niet essentieel.

1.3.12. Elementen van de "logische drempel" en elementen

"Exclusief of"

Onder de meervoudige logische elementen is een groep van elementen te onderscheiden, waarbij het uitgangssignaal alleen een actieve waarde aanneemt in die gevallen waarin een bepaald vooraf bepaald aantal ingangssignalen ook een actieve waarde aanneemt. Dergelijke elementen worden gewoonlijk "logische drempel"-elementen genoemd. In het bijzonder, als uitgangssignaal krijgt de betekenis logboek 1, wanneer slechts één en slechts één van de ingangssignalen neemt de waarde log.1 aan, dan worden dergelijke elementen "exclusieve OR"-elementen genoemd. Dit zijn ook elementen van een logische drempel, alleen de "drempel" is gelijk aan één. Voor hen regelen GOST's ook de UGO, in het hoofdveld waarvan het label "=1" is geplaatst (voor elementen exclusief OF), of een label zoals "= N", waar N een geheel getal kleiner dan het aantal ingangen van het logische element.

Dus in Fig. 1.14 wordt de UGO van het element getoond exclusief OF met drie ingangen, UGO van een logisch drempelelement "= 2 van de 4", Karnaugh-kaarten van hun uitgangsfuncties en functionele equivalente circuits.

Analyse van de gereduceerde Karnaugh-kaarten van functies x en ja, merken we op dat deze functies geen minimale disjunctieve algebraïsche vormen hebben (de visuele matrixmethode voor het minimaliseren van logische functies zal hieronder worden besproken). Daarom kunnen de functionele diagrammen van de genoemde elementen worden geconstrueerd door algebraïsche uitdrukkingen te vinden in de DSNF of in andere vormen.

Het diagram is dus figuur 1.14, D verkregen door de volgende uitdrukking:

x = . (1.17)

Dit is de DSNF van de XOR-functie. Als u op dezelfde manier de uitdrukking van de functie kunt vinden ja, dan zou het bestaan ​​uit 6 disjunctieve termen (termen), die elk het product van alle 4 argumenten zouden vertegenwoordigen. Dan zou het functionele diagram van het logische drempelelement "= 2 van de 4" bestaan ​​uit een element 6OR, zes logische elementen 4I en 4 elementen NOT. Het diagram staat in figuur 1.14, e verkregen door de volgende logische uitdrukking:

ja = (eenD)(BC) + (eenB)(CD). (1.18)

De regels voor het verkrijgen van dit soort algebraïsche uitdrukkingen uit Booleaanse matrices van logische functies zullen hieronder worden besproken. Nu is het relevant om te onthouden dat de mod2-som wordt weergegeven op Karnaugh-kaarten met een dambordpatroon van de rangschikking van enen en nullen. Dus de uitdrukking (1.18) wordt verkregen uit de "bepaalde schaakpatronen" gemarkeerd met verschillende vulling (Fig. 1.14, G) voor de functie ja met behulp van de operatie van factoring uit de gemeenschappelijke factoren. Een vergelijkbare uitdrukking kan worden verkregen voor de functie "exclusieve OF" volgens de kaart in Fig. 1.14, B.

Opgemerkt moet worden dat in het speciale geval, wanneer het aantal ingangen van het "exclusieve OR"-element gelijk is aan twee, deze functie ook gelijk is aan de mod2-optelfunctie van twee argumenten (2). Helaas worden in de integrale versie de logische elementen "exclusief OR" en "logische drempel" met het aantal ingangen meer dan twee niet geproduceerd.

1.3.13. Logische poorten "IMPLICATORS"

Deze logische elementen worden beschreven door de functie "implicatie" (tabel 1.3 van de functie) V 11 en V 14).

V 11 = B een = ,

V 14 = een B = . (1.19)

De eerste van de functies wordt "implicatie" genoemd B", En de tweede" implicatie een". Figuur 1.15 toont de conventionele grafische aanduidingen van het logische element IMPLICATOR een en een Karnot-kaart van zijn uitvoerfunctie. De rechterkant van uitdrukkingen (1.19) geven aan dat de implicatiefunctie tegelijkertijd de inverse is van de VERBODEN-functie.

Op de kaart in figuur 1.15, v volgt dat implicatiefunctie is onwaar alleen wanneer een van argumenten valsenie en de andere waar.

In integraal ontwerp worden IMPLICATORS praktisch niet geproduceerd in series van IC's voor wijdverbreid gebruik. Tegelijkertijd, volgens UGO Figuur 1.15, een en v, de implicatiefunctie kan worden gerealiseerd door element 2OR, door een signaal aan een van zijn ingangen toe te voeren via de inverter, of door het INHIBIT-element, door een inverter aan zijn uitgang aan te zetten. We presenteren deze functionele equivalente circuits niet vanwege hun trivialiteit.

Opgemerkt moet worden dat de invoer van de logische elementen van de implicators ikOgeologisch ongelijk daarom is de volgorde van de ingangssignalen strikt vast.

1.3.14. Multifunctionele logische poorten

Boven werden beschouwd " gemakkelijk»Logische elementen die eenvoudige of . implementeren simpel genoeg logische operaties. Tegelijkertijd worden meer complexe logische elementen (LE) geproduceerd in integraal ontwerp, die in staat zijn om (tegelijkertijd of door de ingangen om te schakelen naar de log.0- of log.1-bussen) verschillende eenvoudige functies te realiseren. In feite maken deze elementen de implementatie van logische meerplaatsfuncties mogelijk door fragmenten van hun normale disjunctieve of normale conjunctieve algebraïsche vormen. Tabel 1.2 heeft al de namen van geïntegreerde schakelingen per functioneel doel en hun symbolen gegeven. Laten we alleen kijken naar de meest gebruikte multifunctionele LE's.

Logische poorten EN-OF-NIET

Dergelijke elementen implementeren inversie disjunctieve normaalvormen(DNF) algebraïsche functie-uitdrukkingen, wat gelijk is aan de implementatie conjunctieve normaalvormen(CNF) deze functies. Dus in Fig. 1.16 wordt de UGO van de K155LR1- en K155LR3-microschakelingen getoond. De K155LR1-microschakeling bevat twee 2-2I-2OR-NOT-elementen en de K155LR3-microschakeling is één 2-2-2-3I-4OR-NOT-element, uitbreidbaar met OR.

Volgens het functionele diagram (Fig. 1.16, B) van een van de elementen van de K155LR1-microschakeling, kunt u de volgende algebraïsche uitdrukking samenstellen voor zijn uitvoerfunctie:

F = = . (1.20)

Dus deze functie van 4 argumenten en de rechterkant van uitdrukking (1.20) komt overeen met de minimale conjunctieve normaalvorm van de functie F(MKNF). De linkerkant van deze uitdrukking komt direct overeen met de UGO van het element 2-2I-2OR-NOT. Het tweede dergelijke element van deze microschakeling heeft "onlogische" OF-uitbreidingsingangen. Ze zijn gemarkeerd in het linker extra veld van de UGO met labels "e" van de emitteruitgang en "k" van de collectoruitgang. Onlogisch uitgangen (ingangen of uitgangen) worden meestal de uitgangen genoemd waarop signalen waarden kunnen aannemen niet standaardtniveaus Spanning. Dergelijke conclusies worden op de UGO van logische elementen (of microschakelingen) gemarkeerd met een speciale wijzer in de vorm van een "kruis". In het bijzonder voor de beschouwde IC's worden deze conclusies getrokken uit de collector en emitter van de transistor van de fasesplitsende trap van het logische basiselement van de TTL IC-serie. Door de uitgangen van de overeenkomstige IC "OF-uitbreidingen" op hen aan te sluiten, is het mogelijk om het aantal ingangen van het OR-NOT-element, dat deel uitmaakt van het multifunctionele element, te vergroten. Voor de microschakelingen in kwestie is de ingangscombinatiefactor bijvoorbeeld 8, en OR-uitbreidingen implementeren het logische product van verschillende ingangssignalen. In wezen zijn OR-uitbreidingen EN-elementen met meerdere ingangen, met als enige verschil dat de uitgangssignalen geen standaardniveaus van logisch 0 en 1 hebben. Het genoteerde stelt ons in staat om, naar analogie met uitdrukking (1.20), de algebraïsche uitdrukking van de uitvoerfunctie te schrijven V voor het tweede element:

V = . (1.21)

Het maximale aantal opeenvolgende termen in uitdrukking (1.21) kan gelijk zijn aan 8 (in overeenstemming met de combinatiecoëfficiënt door invoer), en elke term kan worden weergegeven door een combinatie van maximaal acht argumenten. Zo bepalen de uitdrukkingen (1.20) en (1.21) het logisch-wiskundige model van de K155LR1-microschakeling.

We raden u aan onafhankelijk het logisch-wiskundige model van de K155LR3-microschakeling te vinden, met behulp van het model dat wordt weergegeven in figuur 1.16, G zijn conventionele grafische aanduiding.

Logische poorten OF-AND

Deze logische elementen implementeren fragmenten van conjunctieve normaalvormen (CNF) van Booleaanse functies, dat wil zeggen, het logische product van logische sommen van verschillende argumenten. Element 2-2OR-2I zou bijvoorbeeld de eenvoudigste zijn. Zo'n element wordt beschreven door een functie van de vorm

x = (een + B)(C + D). (1.22)

Afbeelding 1.17 toont de UGO van dit element, de Karnot-kaart van zijn uitvoerfunctie x en een functioneel equivalent circuit.

In een geïntegreerde versie worden vergelijkbare LE's geproduceerd, bijvoorbeeld in de ESL IC-serie is er een K500LS118-microschakeling, dat is twee logische elementen 2-3OR-2I met één gemeenschappelijke ingang. In figuur 1.17, G toont de UGO van deze microschakeling. Volgens de conventionele grafische aanduiding kunt u de volgende logische uitdrukkingen van de uitvoerfuncties samenstellen: ja en Z:

ja = (x 1 + x 2 + x 3)(x 4 + x 5 + x 6), (1.23)

Z = (x 6 + x 7 + x 8)(x 9 + x 10 +x 11).

Uitdrukkingen (1.23) zijn een logisch en wiskundig model van de beschouwde microschakeling. Gedeelde ingang x 6 maakt het mogelijk om de K500LS118-microschakeling te gebruiken als twee onafhankelijke elementen van het type 2-3OR-2I (met x 6=0),

ofwel als twee onafhankelijke elementen 3OR (voor x 6 = 1). Dit is eenvoudig te verifiëren door de corresponderende waarden te vervangen x 6 in uitdrukking (1,23).

Logische poorten OR-NOT / OR

In wezen zijn deze elementen OF-elementen met twee uitgangen, direct en invers. Daarom implementeren ze gelijktijdig disjunctie en disjunctie-inversie van dezelfde set ingangssignalen en worden ze beschreven door logische functies met dezelfde naam. Dus in figuur 1.18, een getoond is het UGO-element 3OR-NOT / 3OR en conventionele grafische symbolen van de K500-serie microschakelingen die soortgelijke logische elementen bevatten. De afbeelding toont ook Karnot-kaarten van de uitvoerfuncties van het gespecificeerde element, het functionele equivalente circuit (Figuur 1.18, B) en UGO-microschakelingen K500LM105 (Fig. 18, D), K500LM109 (Figuur 1.18, e) en K500LM101 (Figuur 1.18, F). Opgemerkt moet worden dat de gegeven variant van het functionele diagram niet de enige is in plaats van het 3OR-NOT-element, het 3OR-element en ook het NOT-element. Volgens de conventionele grafische aanduidingen van de vermelde microschakelingen, is het gemakkelijk te begrijpen dat de IC K500LM105 drie onafhankelijke elementen bevat: twee elementen 2OR-NOT / 2OR en één element 3OR-NOT / 3OR.

Evenzo kunt u de samenstelling van de K500LM109-microschakeling begrijpen

(Figuur 1.18, e).

Besteed aandacht aan de UGO-microschakeling K500LM101 (Figuur 1.18, F). De microschakeling bevat 4 elementen van hetzelfde type type 2OR-NOT / 2OR met aparte uitgangen en met één gemeenschappelijke ingang x 5. Als het signaal op deze ingang x 5 = 0, dan kan de microschakeling worden beschouwd als een set van 4 elementen NIET en tegelijkertijd als een set van vier signaalversterkers aan de ingangen x 1, x 2, x 3 en x 4. Als x 5 = 1, dan zullen, ongeacht de waarden van andere ingangssignalen, logische 1-signalen worden ingesteld op de directe uitgangen en logische 0-signalen worden ingesteld op de inverse uitgangen. Zo speelt elk element in de microschakeling een rol gecontroleerde omvormerOpa-repeater.

Bovendien merken we op dat er in de K500-serie logische elementen zijn van de vorm OR-AND-NOT / OR-AND, bijvoorbeeld de K500LK117-microschakeling. Dit is praktisch een analoog van de K500LS118-microschakeling (Fig. 1.17, G) met het verschil dat elk element 2-2OR-2I directe en inverse uitgangen heeft.

We hebben bijna alle logische elementen overwogen die veel worden gebruikt bij de constructie van digitale apparaten. Bij analyse van het gepresenteerde materiaal kan men tot de volgende conclusies komen:

Bestaat van analytischOde beschrijving Le naar zijn conventionele grafische aanduiding ofwel functieOcontant geld gelijk aan het schema.

Bestaat mogelijkheid tot eenduidige transitie van UGO element of van zijn functioneel diagram Naar analytische beschrijving... In dit geval wordt de werking van het element beschreven door algebraïsche uitdrukkingen van logische functies die door het element zijn geïmplementeerd.

3. Op functionele diagrammen van complexe LE's kan worden gebouwd verscheidene eenvoudiger (minder complex) logische poorten, en daar is meerduidigheid(multivariantie) van het construeren van functionele equivalente circuits voor dezelfde LE.

Aangezien logische apparaten in wezen een verzameling onderling verbonden logische elementen zijn, is de geformuleerde conclusies kan met succes worden uitgebreid tot apparaten.

Tegelijkertijd is er probleem hoe kan apparaat bouwen met een minimum bedrag LE en op elementen minimaalBnomenclatuur... Met andere woorden, hoe een apparaat te bouwen met? minenminimale hardwarekosten.

Oplossing deze Problemen gebaseerd op kennis functioneel complete sets van logische elementen en selectie volgens bepaalde criteleden van de respectievelijke set.

1.3.15. Functioneel complete sets logische poorten

Functioneel compleet genaamd zo'n set LE, waarop (waarvan) je kunt bouw elk logisch apparaat hoe moeilijk het ook is. Functionele volledigheid een bepaalde reeks logische elementen wordt op zijn beurt bepaald volledigheid sommige systemen zijn logischehun functies, die logische en wiskundige modellen zijn van de geselecteerde set LE's.

In Booleaanse algebra bestaat er Post-Yablonsky stelling, volgens welke de volledigheidscriteria sommige logische functiesystemen... De essentie van deze stelling is als volgt.

Een systeem van logische functies is compleet als het het volgende bevat:

een functie, 0,

F (x 1, x 2, x n) = F (0, 0, 0) 0;

b) functie, booleaanse constante niet behouden 1,

F (x 1, x 2, x n) = F (1, 1, 1) 1;

c) functie, niet zelf-duaal,

d) functie, niet-lineair,

F (x 1, x 2, x N) x 1 x 2 x N x 1x 2 x 1 x 2x N;

e) functie, niet monotoon.

Als X1 een vaste reeks waarden is van de functieargumenten F(x 1,x 2,x 3,x 4), bijvoorbeeld X1 =<x 1, x 2, x 3, x 4> = <1,1,0,1>, en X2 =<x 1, x 2, x 3, x 4> = <0,0,0,1>een andere set van deze argumenten, dan kunnen we aannemen dat X1> X2, d.w.z. set X2 is kleiner dan set X1.